Search.setIndex({"docnames": ["building-topologies", "conceptual-overview", "conclusion", "data-collection", "getting-started", "index", "introduction", "quick-start", "resources", "tracing", "tweaking"], "filenames": ["building-topologies.rst", "conceptual-overview.rst", "conclusion.rst", "data-collection.rst", "getting-started.rst", "index.rst", "introduction.rst", "quick-start.rst", "resources.rst", "tracing.rst", "tweaking.rst"], "titles": ["Building Topologies", "Conceptual Overview", "Conclusion", "Data Collection", "Getting Started", "ns-3 Tutorial", "Introduction", "Quick Start", "Resources", "Tracing", "Tweaking"], "terms": {"2": [0, 1, 2, 3, 4, 5, 7, 8, 9, 10], "checkmark": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "In": [0, 1, 3, 4, 6, 9, 10], "thi": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10], "section": [0, 1, 3, 4, 6, 7, 9, 10], "we": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "ar": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "go": [0, 1, 4, 6, 9, 10], "expand": [0, 1, 10], "our": [0, 1, 3, 6, 9, 10], "masteri": 0, "devic": [0, 4, 10], "channel": [0, 9, 10], "cover": [0, 1, 2, 3, 4, 7, 8, 9, 10], "an": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "exampl": [0, 1, 4, 5, 6, 7, 8, 10], "provid": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "net": [0, 4, 9, 10], "call": [0, 1, 4, 7, 9, 10], "csma": [0, 4, 9], "carrier": [0, 1], "sens": [0, 1, 9], "multipl": [0, 1, 4, 6, 9], "access": [0, 1, 4, 8, 9, 10], "The": [0, 1, 2, 3, 4, 5, 6, 7, 10], "simpl": [0, 1, 4, 10], "spirit": [0, 6], "ethernet": [0, 1, 4, 9], "A": [0, 3, 4, 5, 6, 8, 9, 10], "real": [0, 1, 4, 5, 6], "us": [0, 1, 3, 5, 6, 7, 8], "cd": [0, 1, 4, 7], "collis": [0, 1, 9], "detect": [0, 1, 4], "scheme": [0, 4], "exponenti": 0, "increas": [0, 1, 9, 10], "backoff": 0, "contend": 0, "share": [0, 4, 6, 7, 9], "transmiss": [0, 1, 3, 10], "medium": [0, 1], "onli": [0, 1, 3, 4, 6, 7, 9, 10], "subset": 0, "just": [0, 1, 3, 4, 7, 8, 9, 10], "have": [0, 1, 3, 4, 6, 7, 8, 9, 10], "seen": [0, 1, 3, 6, 9, 10], "point": [0, 1, 3, 4, 6, 7, 9, 10], "helper": [0, 3, 4, 5, 10], "object": [0, 1, 3, 4, 6, 8, 9, 10], "when": [0, 3, 4, 6, 9, 10], "construct": [0, 1, 4, 9], "see": [0, 1, 3, 4, 7, 8, 9, 10], "equival": [0, 4, 9, 10], "appear": [0, 1, 8, 9, 10], "oper": [0, 1, 4, 9, 10], "should": [0, 1, 3, 4, 6, 7, 9, 10], "look": [0, 1, 3, 4, 6, 7, 8, 9, 10], "quit": [0, 1, 9, 10], "familiar": [0, 1, 6, 8, 9, 10], "you": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "script": [0, 3, 5, 6, 8, 9, 10], "tutori": [0, 1, 2, 3, 4, 7, 8, 9, 10], "directori": [0, 1, 3, 5, 7, 9, 10], "first": [0, 3, 4, 5, 6, 7, 8, 9, 10], "cc": [0, 1, 3, 4, 7, 10], "add": [0, 1, 4, 9, 10], "simul": [0, 3, 4, 5, 6, 7, 8, 9, 10], "ve": [0, 9], "alreadi": [0, 1, 4, 6, 9, 10], "consid": [0, 2, 4, 9], "ahead": [0, 1, 4, 9, 10], "open": [0, 1, 3, 4, 6, 7, 9, 10], "second": [0, 1, 3, 4, 9, 10], "your": [0, 4, 6, 7, 8, 9], "favorit": [0, 1, 9, 10], "editor": [0, 1, 3, 4, 9, 10], "enough": [0, 3, 4, 9], "code": [0, 5, 6, 7, 8, 9], "understand": [0, 3, 4, 8, 9, 10], "most": [0, 1, 3, 4, 6, 7, 8, 9, 10], "what": [0, 1, 3, 4, 7, 8, 9, 10], "i": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10], "over": [0, 1, 2, 3, 4, 6, 7, 9, 10], "entir": [0, 4, 6, 9, 10], "examin": [0, 3, 4, 9, 10], "some": [0, 1, 3, 4, 6, 7, 8, 9, 10], "output": [0, 1, 3, 4, 6, 9], "all": [0, 1, 2, 3, 4, 6, 7, 9, 10], "file": [0, 1, 3, 4, 6, 9, 10], "begin": [0, 1, 4, 9, 10], "emac": [0, 1, 4], "mode": [0, 1, 4, 7, 9], "line": [0, 1, 3, 4, 5, 6, 7, 8, 9], "gpl": [0, 1], "boilerpl": 0, "actual": [0, 1, 4, 9, 10], "load": [0, 1, 4, 9], "modul": [0, 4, 5, 9], "includ": [0, 3, 4, 6, 8, 9, 10], "wa": [0, 1, 3, 4, 6, 9, 10], "done": [0, 1, 4, 6, 7, 8, 9, 10], "ns3": [0, 3, 7, 9, 10], "core": [0, 1, 3, 4, 8, 9, 10], "h": [0, 1, 3, 4, 9], "internet": [0, 1, 3, 4, 6, 9], "applic": [0, 3, 4, 10], "ipv4": [0, 3, 9], "global": [0, 1, 3, 9, 10], "rout": [0, 1, 4], "One": [0, 1, 3, 9], "thing": [0, 1, 2, 4, 9, 10], "can": [0, 1, 3, 4, 6, 7, 8, 9, 10], "surprisingli": [0, 9], "small": [0, 2, 3, 9], "bit": [0, 1, 4, 9, 10], "ascii": [0, 3], "art": 0, "show": [0, 4, 9, 10], "cartoon": 0, "find": [0, 1, 4, 8, 10], "similar": [0, 1, 4, 6, 8, 9, 10], "draw": 0, "case": [0, 1, 3, 4, 7, 8, 9, 10], "extend": [0, 9, 10], "link": [0, 1, 4, 7, 9, 10], "between": [0, 1, 4, 6, 9, 10], "node": [0, 3, 6, 9, 10], "n0": 0, "n1": 0, "below": [0, 1, 3, 4, 6, 7, 9, 10], "hang": [0, 9], "off": [0, 1, 4, 9, 10], "right": [0, 1, 4, 6, 9, 10], "side": [0, 1, 4, 9, 10], "notic": [0, 1, 3, 4, 9, 10], "sinc": [0, 1, 3, 4, 6, 7, 9, 10], "vari": [0, 4], "number": [0, 1, 3, 4, 8, 9, 10], "creat": [0, 1, 3, 4, 7, 9, 10], "lan": 0, "If": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "set": [0, 1, 3, 4, 6, 8, 9, 10], "ncsma": 0, "one": [0, 1, 2, 3, 4, 7, 9, 10], "total": [0, 4], "two": [0, 1, 3, 4, 6, 7, 9, 10], "requir": [0, 1, 3, 4, 7, 9, 10], "extra": [0, 9], "By": [0, 1, 4, 9], "three": [0, 1, 4, 9, 10], "10": [0, 1, 4, 9, 10], "1": [0, 1, 3, 4, 7, 9, 10], "0": [0, 1, 3, 4, 9, 10], "n2": 0, "n3": 0, "n4": 0, "Then": [0, 1, 4, 7, 9, 10], "namespac": [0, 3, 9, 10], "log": [0, 4, 5, 7, 9], "compon": [0, 1, 3, 4, 6, 7, 8, 9, 10], "defin": [0, 1, 3, 4, 9, 10], "so": [0, 1, 3, 4, 7, 8, 9, 10], "noth": [0, 1, 9], "new": [0, 1, 3, 4, 6, 7, 8, 9, 10], "yet": [0, 9], "ns_log_component_defin": [0, 1, 9, 10], "secondscriptexampl": 0, "main": [0, 3, 4, 6, 7, 8, 10], "program": [0, 1, 3, 5, 6, 7, 10], "slightli": [0, 4, 9], "differ": [0, 1, 4, 6, 9, 10], "twist": 0, "verbos": [0, 1, 4, 10], "flag": [0, 9, 10], "determin": [0, 3, 9, 10], "whether": [0, 4], "udpechoclientappl": [0, 1, 10], "udpechoserverappl": [0, 1, 10], "enabl": [0, 1, 3, 4, 7, 9], "true": [0, 9, 10], "allow": [0, 1, 4, 6, 9, 10], "u": [0, 1, 3, 4, 6, 9, 10], "turn": [0, 1, 3, 4, 9, 10], "dure": [0, 1, 3, 4, 9, 10], "regress": 0, "test": [0, 1, 3, 5, 9], "via": [0, 1, 4, 6, 10], "command": [0, 1, 3, 5, 6, 7, 8, 9], "argument": [0, 3, 5, 7, 9], "did": [0, 1, 3, 9, 10], "someth": [0, 1, 2, 4, 9, 10], "packet": [0, 1, 3, 4, 6, 9, 10], "sent": [0, 1, 9, 10], "last": [0, 1, 3, 4, 9, 10], "make": [0, 1, 4, 7, 8, 9, 10], "sure": [0, 4, 9, 10], "least": [0, 1, 4, 8, 10], "consist": [0, 4, 9], "variat": [0, 3, 9], "previous": [0, 1, 3, 4, 9, 10], "api": [0, 1, 2, 5, 6, 8, 9, 10], "comfort": [0, 6, 9], "follow": [0, 1, 2, 3, 4, 6, 7, 9, 10], "bool": [0, 3, 9], "uint32_t": [0, 3, 9, 10], "commandlin": [0, 3, 4, 10], "cmd": [0, 3, 4, 10], "addvalu": [0, 3, 10], "tell": [0, 1, 3, 4, 9, 10], "echo": [0, 1, 4, 8, 10], "pars": [0, 3, 9], "argc": [0, 1, 3, 9, 10], "argv": [0, 1, 3, 9, 10], "logcomponenten": [0, 1, 10], "log_level_info": [0, 1, 10], "next": [0, 1, 3, 4, 7, 9, 10], "step": [0, 1, 3, 4, 7, 9, 10], "connect": [0, 1, 10], "nodecontain": [0, 9, 10], "do": [0, 1, 4, 7, 8, 9, 10], "p2pnode": [0, 9], "declar": [0, 1, 3, 4, 9, 10], "anoth": [0, 1, 4, 8, 9, 10], "hold": [0, 1, 9], "part": [0, 1, 4, 9, 10], "instanti": [0, 1, 9], "contain": [0, 1, 4, 9, 10], "itself": [0, 1, 3, 4, 9, 10], "csmanod": 0, "get": [0, 1, 2, 5, 7, 8, 9, 10], "index": [0, 1, 8, 9], "question": [0, 6, 9, 10], "end": [0, 1, 4, 9, 10], "up": [0, 1, 4, 7, 9, 10], "compos": [0, 1], "remaind": [0, 4], "both": [0, 1, 4, 6, 7, 9, 10], "mean": [0, 1, 3, 4, 9, 10], "desir": [0, 3, 9], "minu": [0, 9], "now": [0, 1, 3, 4, 9, 10], "pointtopointhelp": [0, 9, 10], "associ": [0, 1, 8, 9, 10], "five": [0, 1, 5, 10], "megabit": [0, 1, 10], "per": [0, 1, 9, 10], "transmitt": 0, "millisecond": [0, 1, 10], "delai": [0, 1, 9, 10], "pointtopoint": [0, 1, 9, 10], "setdeviceattribut": [0, 1, 9, 10], "datar": [0, 1, 9, 10], "stringvalu": [0, 1, 9, 10], "5mbp": [0, 1, 9, 10], "setchannelattribut": [0, 1, 9, 10], "2m": [0, 1, 9, 10], "netdevicecontain": [0, 9, 10], "p2pdevic": 0, "instal": [0, 1, 8, 9, 10], "keep": [0, 1, 4, 6, 9], "track": [0, 1, 4, 9], "mention": [0, 1, 4, 8, 9, 10], "abov": [0, 1, 3, 4, 6, 7, 8, 9, 10], "were": [0, 1, 3, 4, 9, 10], "introduc": [0, 1, 3, 4, 6, 9], "them": [0, 1, 3, 4, 7, 9, 10], "csmahelp": 0, "work": [0, 1, 3, 6, 7, 8, 9, 10], "like": [0, 1, 4, 6, 8, 9, 10], "pair": [0, 9], "data": [0, 1, 4, 5, 6, 9, 10], "rate": [0, 9, 10], "specifi": [0, 3, 4, 7, 9, 10], "instead": [0, 1, 3, 4, 7, 9, 10], "becaus": [0, 1, 4, 8, 9, 10], "doe": [0, 1, 3, 4, 6, 7, 9, 10], "mix": 0, "10base": 0, "t": [0, 1, 3, 4, 8, 9, 10], "100base": 0, "given": [0, 1, 8, 9, 10], "100": [0, 4], "speed": [0, 10], "light": [0, 10], "6560": 0, "nano": 0, "arbitrarili": 0, "chosen": [0, 4, 9], "nanosecond": [0, 1], "foot": 0, "2000": 0, "meter": 0, "segment": [0, 3, 9, 10], "its": [0, 1, 3, 4, 6, 7, 8, 9, 10], "nativ": [0, 4, 6, 9], "type": [0, 1, 4, 5, 6, 9, 10], "100mbp": 0, "timevalu": [0, 1], "csmadevic": [0, 9], "method": [0, 1, 10], "protocol": [0, 1, 3, 6, 10], "stack": [0, 1, 4, 9, 10], "present": [0, 1, 4, 6, 8, 9, 10], "internetstackhelp": [0, 9], "recal": [0, 1, 9, 10], "took": [0, 1, 9], "ad": [0, 1, 3, 4, 9], "thu": [0, 10], "need": [0, 1, 2, 3, 4, 7, 8, 9, 10], "remain": [0, 1, 9, 10], "ipv4addresshelp": [0, 9], "assign": [0, 1, 9, 10], "ip": [0, 1, 8, 9, 10], "address": [0, 1, 3, 9, 10], "interfac": [0, 1, 3, 4, 6, 9, 10], "setbas": [0, 1, 9], "255": [0, 1, 9], "ipv4interfacecontain": [0, 1, 9], "p2pinterfac": 0, "save": [0, 1, 4, 9, 10], "easi": [0, 1, 9, 10], "pull": [0, 4, 9], "out": [0, 1, 3, 4, 6, 7, 9, 10], "inform": [0, 4, 6, 8, 9, 10], "later": [0, 1, 3, 4, 9], "except": [0, 3, 4, 9, 10], "perform": [0, 1, 4, 6, 7, 8, 9], "ha": [0, 1, 3, 4, 6, 7, 8, 9, 10], "variabl": [0, 3, 4, 9, 10], "rememb": [0, 1, 4, 9, 10], "made": [0, 1, 4, 9, 10], "changeabl": 0, "csmainterfac": 0, "built": [0, 1, 4, 9, 10], "fundament": [0, 3], "server": [0, 1, 8, 9, 10], "client": [0, 1, 8, 9, 10], "udpechoserverhelp": 0, "valu": [0, 1, 3, 4, 9], "constructor": [0, 1, 9, 10], "which": [0, 1, 3, 4, 6, 7, 8, 9, 10], "port": [0, 1, 6, 9, 10], "setattribut": [0, 1, 9, 10], "echoserv": [0, 1], "9": [0, 1, 3, 9, 10], "applicationcontain": [0, 1, 9], "serverapp": [0, 1], "start": [0, 1, 5, 6, 8, 10], "stop": [0, 3, 4, 7], "want": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "zeroth": [0, 1, 10], "entri": [0, 1, 9, 10], "wai": [0, 1, 4, 6, 7, 8, 9, 10], "think": [0, 1, 2, 9, 10], "induct": 0, "exhibit": [0, 9], "exactli": [0, 1, 9], "again": [0, 1, 3, 9, 10], "udpechoclienthelp": 0, "remot": [0, 1, 4], "send": [0, 1, 3, 9, 10], "leftmost": 0, "illustr": [0, 9], "echocli": [0, 1, 10], "getaddress": [0, 1, 9], "maxpacket": [0, 1, 10], "uintegervalu": [0, 1, 10], "interv": [0, 1, 3], "packets": [0, 1, 9], "1024": [0, 1, 10], "clientapp": [0, 1], "internetwork": [0, 1], "here": [0, 1, 3, 4, 7, 9, 10], "form": [0, 1, 3, 4, 5, 9, 10], "help": [0, 1, 3, 4, 8, 9, 10], "take": [0, 1, 4, 8, 9, 10], "advantag": [0, 9], "fact": [0, 1, 9, 10], "run": [0, 1, 3, 5, 6, 7, 8, 10], "through": [0, 1, 3, 4, 8, 9, 10], "hard": [0, 1, 10], "without": [0, 1, 6, 9, 10], "configur": [0, 1, 3, 7, 8, 9, 10], "router": [0, 1], "basic": [0, 1, 3, 4, 8, 9, 10], "happen": [0, 1, 4, 9, 10], "each": [0, 1, 3, 4, 9, 10], "behav": [0, 9, 10], "ospf": 0, "commun": [0, 1, 6, 9], "instantli": 0, "magic": [0, 9], "other": [0, 1, 2, 3, 4, 6, 7, 9, 10], "behind": [0, 1], "scene": [0, 1], "gener": [0, 1, 3, 4, 6, 9, 10], "advertis": [0, 1], "directli": [0, 1, 4, 6, 7, 8, 9], "manag": [0, 1, 4, 8, 9, 10], "tabl": [0, 1], "liner": [0, 9, 10], "ipv4globalroutinghelp": 0, "populateroutingt": 0, "pcap": [0, 3, 6], "trace": [0, 5, 6], "paramet": [0, 1, 3, 4, 9, 10], "haven": [0, 9], "encount": 0, "enablepcapal": [0, 9, 10], "enablepcap": [0, 9], "multi": [0, 10], "endpoint": 0, "There": [0, 1, 4, 6, 7, 8, 9, 10], "altern": [0, 4, 8], "gather": [0, 3, 9], "store": [0, 1, 4, 6, 8, 9], "emit": 0, "consum": [0, 1, 9, 10], "pick": [0, 1, 4, 9, 10], "place": [0, 1, 3, 4, 7, 9, 10], "promiscu": [0, 9], "That": [0, 4, 9], "singl": [0, 1, 4, 6, 9, 10], "sniff": 0, "how": [0, 1, 3, 4, 6, 9, 10], "tcpdump": [0, 3, 9], "final": [0, 1, 3, 4, 9, 10], "arrang": [0, 1, 9], "captur": [0, 3, 9, 10], "select": [0, 1, 4, 9, 10], "ask": [0, 1, 4, 9, 10], "therebi": 0, "emul": [0, 1, 4, 7], "would": [0, 1, 4, 6, 7, 9, 10], "linux": [0, 1, 4, 6, 7, 8, 9], "machin": [0, 1, 3, 4, 6, 7, 8], "might": [0, 1, 4, 6, 9, 10], "eth0": [0, 1, 9], "clean": [0, 1, 4], "destroi": [0, 1, 3, 9], "return": [0, 1, 3, 9], "order": [0, 1, 4, 8, 9, 10], "copi": [0, 1, 4, 6, 7, 8, 9, 10], "scratch": [0, 1, 4, 9, 10], "top": [0, 1, 4, 9, 10], "level": [0, 1, 3, 4, 6, 7, 10], "repositori": [0, 4, 7, 8, 10], "cp": [0, 1], "mysecond": 0, "warn": [0, 4, 9, 10], "verifi": [0, 4], "experi": [0, 4, 6, 9], "posit": [0, 9, 10], "execut": [0, 1, 4, 6, 9, 10], "name": [0, 1, 3, 4, 8, 9, 10], "exist": [0, 3, 4, 6, 7, 8, 9, 10], "project": [0, 1, 2, 4, 5, 6, 7, 8, 9], "To": [0, 1, 3, 4, 7, 9, 10], "avoid": [0, 1, 4, 9], "ani": [0, 1, 2, 4, 6, 8, 9, 10], "confus": [0, 4], "about": [0, 1, 2, 3, 4, 5, 7, 8, 9, 10], "pleas": [0, 1, 2, 3, 4], "renam": 0, "suggest": [0, 1, 4, 9], "close": [0, 1, 4, 5, 9, 10], "still": [0, 1, 3, 4, 6], "ns_log": [0, 4, 9, 10], "clear": [0, 6, 9, 10], "export": [0, 3, 4, 10], "udp": [0, 1, 10], "At": [0, 1, 4, 7, 9, 10], "time": [0, 1, 2, 3, 4, 8, 9, 10], "byte": [0, 1, 3, 9, 10], "4": [0, 4, 10], "0078": 0, "receiv": [0, 1, 3, 4, 9, 10], "49153": [0, 1, 9, 10], "01761": 0, "messag": [0, 1, 3, 4, 9, 10], "indic": [0, 1, 4, 9, 10], "back": [0, 1, 3, 4, 6, 9, 10], "let": [0, 1, 3, 4, 9, 10], "": [0, 1, 3, 4, 5, 6, 8, 9, 10], "moment": [0, 9], "thei": [0, 1, 3, 4, 6, 7, 8, 9, 10], "same": [0, 1, 3, 4, 9, 10], "For": [0, 1, 3, 4, 5, 7, 8, 9, 10], "list": [0, 1, 3, 4, 6, 9, 10], "zero": [0, 1, 9, 10], "also": [0, 1, 3, 4, 6, 7, 8, 9, 10], "refer": [0, 1, 4, 9, 10], "nn": [0, 3, 10], "tt": [0, 3, 10], "r": [0, 3, 10], "content": [0, 1, 4, 7, 9, 10], "displai": [0, 4, 10], "read": [0, 1, 2, 4, 6, 7, 9], "ppp": [0, 9, 10], "000000": [0, 10], "length": [0, 9, 10], "017607": 0, "dump": [0, 9, 10], "expect": [0, 1, 2, 4, 6, 8, 9, 10], "leav": [0, 9], "head": [0, 1], "rightmost": 0, "move": [0, 6], "003686": 0, "013921": 0, "toward": [0, 3], "intern": [0, 1, 9], "forward": [0, 4, 7, 9], "pop": 0, "ultim": [0, 9], "destin": [0, 9, 10], "sniffer": [0, 10], "en10mb": 0, "007698": 0, "arp": 0, "request": [0, 1, 4, 6, 10], "who": [0, 2, 3, 4, 6, 7, 8, 9], "ff": 0, "50": 0, "007710": 0, "repli": 0, "00": [0, 3, 10], "06": 0, "007803": 0, "013815": 0, "013828": 0, "03": 0, "As": [0, 1, 3, 4, 6, 8, 9, 10], "though": [0, 1, 8, 9], "resolut": [0, 1, 9], "know": [0, 1, 2, 4, 8, 9, 10], "doesn": [0, 1, 4, 9], "mac": [0, 1, 8], "correspond": [0, 1, 3, 4, 7, 9, 10], "It": [0, 1, 2, 3, 4, 6, 7, 9, 10], "broadcast": [0, 1], "sai": [0, 1, 3, 4, 9, 10], "note": [0, 1, 3, 4, 6, 9, 10], "involv": [0, 1, 4, 9, 10], "exchang": 0, "snif": 0, "report": [0, 1, 4, 6], "traffic": [0, 1, 4, 9, 10], "goe": [0, 4, 9], "around": [0, 1, 3, 4, 7, 9, 10], "try": [0, 1, 3, 4, 6, 7, 9, 10], "sourc": [0, 3, 5, 6, 7, 8, 10], "reach": [0, 9], "initi": [0, 1, 4, 6, 9, 10], "figur": [0, 9, 10], "But": [0, 1, 4, 6, 9], "had": [0, 1, 3, 4, 9, 10], "come": [0, 1, 9, 10], "onto": [0, 1], "lastli": 0, "origin": [0, 1, 9, 10], "arriv": 0, "abil": [0, 1, 4, 9], "control": [0, 1, 4, 6, 7, 9, 10], "four": [0, 1, 8, 9, 10], "5": [0, 9, 10], "0118": 0, "02461": 0, "been": [0, 1, 3, 4, 6, 8, 9, 10], "reloc": 0, "possibl": [0, 1, 3, 4, 6, 7, 9, 10], "mai": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "satisfi": [0, 9], "bystand": 0, "realli": [0, 9], "interest": [0, 3, 6, 8, 9, 10], "fairli": [0, 9, 10], "easili": [0, 1, 4, 9, 10], "more": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10], "specif": [0, 1, 3, 4, 6, 7, 9], "replac": [0, 9], "getid": [0, 9], "fals": [0, 3, 9], "base": [0, 1, 3, 4, 6, 7, 8, 9, 10], "those": [0, 1, 4, 6, 7, 8, 9, 10], "choic": [0, 4, 6, 7], "monoton": [0, 1, 9], "fashion": 0, "manual": [0, 2, 3, 4, 5, 6, 9, 10], "contempl": 0, "creation": [0, 9], "approach": [0, 1, 4, 9, 10], "becom": [0, 1, 4, 8, 9], "annoyingli": 0, "difficult": [0, 6, 8, 9, 10], "larger": 0, "realiz": [0, 6, 9], "pointer": [0, 1, 6, 9, 10], "id": [0, 9, 10], "seek": 0, "doxygen": [0, 1, 2, 5, 10], "locat": [0, 1, 4, 7, 8, 9], "further": [0, 1, 4, 9, 10], "down": [0, 1, 4, 9, 10], "than": [0, 1, 3, 4, 7, 9, 10], "far": 0, "sometim": [0, 1, 4, 6, 9, 10], "search": [0, 4, 9, 10], "dilig": 0, "document": [0, 1, 2, 4, 5, 6, 8, 9, 10], "releas": [0, 1, 3, 5, 8, 9], "web": [0, 1, 4, 5, 7, 9, 10], "site": [0, 1, 4, 7, 8, 9, 10], "class": [0, 1, 3, 4, 9, 10], "tab": [0, 1, 4, 9], "scroll": [0, 1, 9, 10], "until": [0, 4, 8, 9], "taken": [0, 1, 9, 10], "detail": [0, 1, 3, 6, 8, 9, 10], "much": [0, 1, 4, 6, 8, 9, 10], "easier": [0, 1, 4], "complex": [0, 8, 9], "old": [0, 3, 4, 8, 9], "rm": 0, "On": [0, 4, 8, 9], "110": 0, "quantiti": [0, 4], "101": 0, "0068": 0, "where": [0, 1, 3, 4, 7, 9, 10], "resid": [0, 1], "non": [0, 1, 3, 4, 6, 9], "befor": [0, 1, 3, 4, 8, 9, 10], "after": [0, 1, 4, 9, 10], "produc": [0, 3, 4, 8, 9, 10], "006698": 0, "particip": 0, "regardless": [0, 4], "statement": [0, 1, 3, 9, 10], "67": 0, "006803": 0, "013803": 0, "conveni": [0, 1, 4], "excurs": [0, 10], "import": [0, 1, 2, 4, 8, 9, 10], "obviou": [0, 1, 9], "whenev": [0, 1, 4, 9], "being": [0, 4, 6, 8, 9, 10], "tempt": [0, 4], "previou": [0, 1, 3, 4, 9, 10], "result": [0, 1, 3, 4, 6, 9, 10], "reflect": [0, 9, 10], "definit": [0, 9, 10], "abstract": [0, 5, 6, 9], "respons": [0, 6, 9], "author": [0, 1, 6, 7, 8, 9, 10], "rang": 0, "accuraci": 0, "domain": 0, "whole": [0, 4, 9, 10], "therefor": [0, 1, 4, 6, 9, 10], "constitu": 0, "descript": [0, 1, 4, 9], "decid": [0, 9], "caveat": 0, "behavior": [0, 1, 6, 7, 9, 10], "agre": 0, "bui": [0, 1], "prove": 0, "worthwhil": [0, 9], "spend": [0, 4, 9], "investig": 0, "few": [0, 1, 3, 4, 6, 9], "instanc": [0, 1, 4, 8, 9], "swerv": 0, "outsid": [0, 1, 4, 9, 10], "bound": [0, 9], "user": [0, 1, 3, 4, 5, 7, 8, 9, 10], "csmanetdevic": [0, 1], "mtu": 0, "encapsulationmod": 0, "maximum": [0, 1], "unit": [0, 4, 7], "size": [0, 3, 4, 9, 10], "largest": 0, "pdu": 0, "1500": 0, "found": [0, 1, 4, 6, 7, 8, 9, 10], "rfc": 0, "894": 0, "standard": [0, 1, 4, 9, 10], "datagram": 0, "deriv": [0, 9], "10base5": 0, "full": [0, 1, 4, 6, 9, 10], "spec": 0, "1518": 0, "subtract": 0, "dix": 0, "encapsul": [0, 10], "overhead": [0, 9], "18": [0, 3, 9], "ieee": [0, 9], "802": [0, 4, 9], "1492": 0, "llc": 0, "snap": 0, "eight": [0, 9], "underli": [0, 1, 4, 8, 9, 10], "hardwar": [0, 1], "These": [0, 1, 3, 4, 7, 9], "frame": 0, "respect": [0, 1, 3, 9, 10], "1526": 0, "illeg": 0, "mani": [0, 1, 3, 4, 6, 8, 9, 10], "transmit": [0, 1, 3, 9, 10], "subtli": 0, "complic": [0, 1, 2, 3, 4, 9], "pictur": [0, 9], "jumbo": 0, "9000": 0, "super": 0, "offici": [0, 4, 6, 8], "sanction": 0, "high": [0, 1, 7, 9], "gigabit": 0, "nic": [0, 1], "could": [0, 3, 4, 9, 10], "64000": 0, "even": [0, 1, 6, 9, 10], "csmachannel": [0, 1], "essenti": [0, 6, 9], "switch": [0, 1, 4, 8, 9], "vampir": 0, "tap": [0, 4], "1980": 0, "style": [0, 1, 9], "support": [0, 1, 4, 5, 6, 7, 8, 9, 10], "certainli": [0, 1, 9], "ever": [0, 1], "nor": 0, "500": 0, "cabl": [0, 1], "minimum": 0, "space": [0, 1, 3, 4, 10], "200": 0, "well": [0, 1, 3, 4, 6, 8, 9, 10], "meaning": [0, 4, 9], "depend": [0, 1, 4, 9], "situat": [0, 9], "occur": [0, 1, 9, 10], "abl": [0, 3, 4, 9, 10], "occupi": 0, "amplifi": 0, "nois": 0, "violat": 0, "law": 0, "physic": [0, 9], "favor": 0, "flexibl": [0, 1], "freeli": 0, "enforc": 0, "arbitrari": 0, "particular": [0, 1, 3, 4, 6, 9, 10], "home": [0, 1, 4], "system": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9], "knowledg": [0, 6, 8, 10], "11": [0, 1, 4, 9], "attempt": [0, 4, 9], "accur": 0, "implement": [0, 1, 3, 6], "slow": [0, 4, 9], "phy": 0, "11a": 0, "wifi": [0, 4, 9], "wi": 0, "fi": [0, 4], "third": [0, 3, 4, 8, 9, 10], "reproduc": [0, 6, 10], "left": [0, 1, 4, 9, 10], "wire": [0, 1], "give": [0, 1, 4, 9, 10], "similarli": [0, 9], "nwifi": [0, 9], "sta": 0, "station": 0, "alwai": [0, 1, 4, 9, 10], "ap": 0, "coupl": [0, 1, 9], "mobil": [0, 4, 9], "discuss": [0, 1, 4, 9], "n5": 0, "n6": 0, "n7": 0, "fill": 0, "shown": [0, 1, 3, 4, 8, 9, 10], "thirdscriptexampl": 0, "disabl": [0, 1, 4, 9, 10], "friend": [0, 9], "wifistanod": [0, 9], "wifiapnod": 0, "interconnect": 0, "yanswifichannelhelp": 0, "yanswifiphyhelp": 0, "simplic": 0, "layer": [0, 9], "onc": [0, 1, 7, 8, 9, 10], "interfer": 0, "setchannel": 0, "focu": [0, 4, 7, 9, 10], "wifimachelp": 0, "servic": [0, 4, 9], "identifi": [0, 3, 9, 10], "ssid": 0, "wifihelp": 0, "11ax": [0, 4], "known": [0, 1, 8, 9, 10], "commerci": 0, "6": [0, 4, 7], "compat": [0, 6], "algorithm": 0, "idealwifimanag": 0, "readi": [0, 1, 4], "lot": [0, 4, 9, 10], "tailor": 0, "addit": [0, 1, 2, 3, 4, 7, 9, 10], "netdevic": [0, 1, 4, 9], "wifinetdevic": [0, 1], "stadevic": 0, "settyp": 0, "stawifimac": 0, "ssidvalu": 0, "activeprob": 0, "booleanvalu": 0, "kind": [0, 1, 9, 10], "typeid": [0, 3, 9, 10], "qossupport": 0, "11n": 0, "newer": [0, 4], "combin": [0, 1, 4, 6, 9], "qo": 0, "awar": [0, 1, 6, 8], "infrastructur": [0, 9], "bss": 0, "e": [0, 1, 3, 4, 6, 7], "probe": [0, 3], "listen": 0, "beacon": 0, "fulli": [0, 9], "invok": [0, 3, 4, 9], "process": [0, 1, 3, 4, 9, 10], "apwifimac": 0, "latter": 0, "apdevic": 0, "wander": [0, 9], "insid": [0, 1, 4, 9, 10], "box": 0, "stationari": 0, "mobilityhelp": [0, 9], "alloc": [0, 1], "function": [0, 4, 7, 8, 9, 10], "setpositionalloc": 0, "gridpositionalloc": 0, "minx": 0, "doublevalu": [0, 9], "mini": 0, "deltax": 0, "deltai": 0, "gridwidth": 0, "layouttyp": 0, "rowfirst": 0, "dimension": [0, 1, 9], "grid": 0, "feel": [0, 1, 9], "free": [0, 1, 9], "explor": [0, 4], "choos": [0, 4], "randomwalk2dmobilitymodel": [0, 9], "random": [0, 9], "direct": [0, 3, 4, 9], "setmobilitymodel": 0, "rectanglevalu": 0, "rectangl": 0, "fix": [0, 9], "accomplish": [0, 1, 9], "constantpositionmobilitymodel": 0, "put": [0, 1, 4, 6, 9], "And": [0, 9], "surpris": [0, 9, 10], "never": [0, 1, 4], "natur": [0, 1, 7, 9, 10], "forev": 0, "event": [0, 1, 4, 6, 7, 9, 10], "schedul": [0, 1, 7, 9], "futur": [0, 1, 3, 4, 5, 6, 10], "indefinit": 0, "must": [0, 1, 3, 4, 8, 9, 10], "don": [0, 1, 4, 8, 9, 10], "enter": [0, 9, 10], "endless": 0, "loop": [0, 9], "serv": [0, 3], "backbon": 0, "monitor": [0, 4], "exit": [0, 1, 4, 9], "cmake": [0, 1, 5, 7], "mythird": 0, "01624": 0, "02849": 0, "inspect": [0, 4, 9], "ieee802_11_radio": 0, "plu": [0, 3, 9], "radiotap": 0, "header": [0, 1, 4, 9, 10], "033119": 0, "33119u": 0, "tsft": 0, "mb": [0, 9], "5210": 0, "mhz": 0, "12": 0, "24": 0, "36": [0, 1], "48": 0, "54": 0, "mbit": 0, "ess": 0, "120504": 0, "120504u": 0, "62dbm": 0, "signal": [0, 4, 9, 10], "94dbm": 0, "assoc": 0, "120520": 0, "120520u": 0, "acknowledg": 0, "ra": 0, "08": 0, "120632": 0, "120632u": 0, "cf": 0, "120666": 0, "120666u": 0, "aid": 0, "success": [0, 4, 9], "probabl": [0, 1, 3, 4, 6, 8, 9], "exercis": [0, 9], "complet": [0, 1, 4, 7, 8, 9, 10], "006440": 0, "025048": 0, "across": [0, 9, 10], "010126": 0, "021361": 0, "016126": 0, "016151": 0, "021255": 0, "understood": [0, 10], "forgotten": 0, "sequenc": [0, 1, 6, 9], "spent": [0, 9], "shame": 0, "finish": [0, 1, 4, 10], "hook": [0, 1, 3, 9], "mobilitymodel": [0, 9], "cours": [0, 1, 3, 4, 9], "sneak": 0, "peek": 0, "seem": [0, 9], "veri": [0, 1, 2, 8, 9, 10], "nice": [0, 9, 10], "tweak": [0, 5], "divid": [0, 1], "sink": [0, 10], "predefin": [0, 9], "write": [0, 1, 2, 3, 7, 9, 10], "pretti": [0, 4, 9, 10], "despit": 0, "reput": 0, "void": [0, 4, 9], "coursechang": [0, 9], "std": [0, 1, 3, 4, 9, 10], "string": [0, 1, 3, 9, 10], "context": [0, 9], "ptr": [0, 1, 3, 9], "const": [0, 3, 9], "vector": [0, 4, 9], "getposit": [0, 9], "ns_log_uncond": [0, 9, 10], "x": [0, 3, 9, 10], "y": [0, 3, 9], "uncondition": [0, 10], "everi": [0, 1, 4, 9, 10], "config": [0, 4], "ostringstream": [0, 9], "oss": [0, 9], "nodelist": [0, 3, 9, 10], "str": [0, 9], "makecallback": [0, 9], "path": [0, 3, 4, 6, 10], "describ": [0, 1, 3, 4, 7, 9, 10], "earlier": [0, 3, 4, 7, 9, 10], "seven": [0, 9, 10], "7": [0, 1, 4, 9, 10], "infer": [0, 9], "seventh": [0, 3], "aggreg": [0, 9], "dollar": 0, "sign": 0, "prefix": [0, 3, 4, 9, 10], "impli": [0, 1, 9, 10], "pass": [0, 1, 4, 7, 9, 10], "print": [0, 1, 3, 4, 8, 9, 10], "36083": 0, "769065": 0, "62346": 0, "195831": 0, "42533": 0, "17601": 0, "8": [0, 3, 4, 9, 10], "4854": 0, "834616": 0, "79244": 0, "55559": 0, "85546": 0, "55361": 0, "72774": 0, "06461": 0, "52954": 0, "6622": 0, "523": 0, "77665": 0, "7054": 0, "75987": 0, "143": 0, "93301": 0, "2355": 0, "9373": 0, "2152": 0, "73647": 0, "2379": 0, "94864": 0, "4491": 0, "971199": 0, "56013": 0, "42913": 0, "11607": 0, "32513": 0, "22047": 0, "88027": 0, "79149": 0, "05934": 0, "41195": 0, "275103": 0, "83369": 0, "631617": 0, "15219": 0, "100206": 0, "32714": 0, "665266": 0, "46368": 0, "160847": 0, "40394": 0, "837367": 0, "96716": 0, "73693": 0, "62062": 0, "49388": 0, "99793": 0, "56779": 0, "disciplin": 0, "larg": [0, 1, 2, 3, 8, 9, 10], "impact": [0, 9], "observ": [0, 3], "architectur": [0, 7, 8], "separ": [0, 1, 3, 9, 10], "host": [0, 1, 6], "recent": [0, 4, 7, 8], "outgo": [0, 3], "travers": 0, "activ": [0, 1, 4, 6, 9], "rfc7567": 0, "priorit": 0, "due": [0, 9], "qualiti": 0, "independ": [0, 9, 10], "manner": 0, "typic": [0, 1, 4, 7, 8, 9, 10], "g": [0, 1, 3, 4, 6, 7], "lte": [0, 4], "mirror": 0, "practic": [0, 4, 8], "softwar": [0, 1, 4, 6, 8, 9], "http": [0, 4, 7, 8, 10], "lwn": 0, "articl": 0, "705884": 0, "effect": [0, 9], "notifi": [0, 9], "otherwis": [0, 1, 4, 9], "backlog": 0, "null": 0, "ineffect": 0, "current": [0, 1, 4, 6, 8, 9, 10], "flow": [0, 1, 4, 9, 10], "subclass": [0, 9], "simplenetdevic": 0, "highli": [0, 6, 8], "autotun": 0, "properti": 0, "bandwidth": 0, "simplest": [0, 1, 4, 9], "variant": [0, 4, 9], "fifo": 0, "drop": [0, 1, 4, 6, 9, 10], "tail": 0, "howev": [0, 1, 3, 4, 6, 8, 9, 10], "dynam": 0, "adjust": [0, 6], "bql": 0, "limit": [0, 6, 10], "kernel": [0, 9], "fight": 0, "bufferbloat": 0, "while": [0, 4, 6, 9, 10], "starvat": 0, "analysi": [0, 3, 6], "conduct": [0, 4, 6], "p": [0, 9], "imputato": 0, "avallon": 0, "buffer": [0, 9], "theori": [0, 1], "80": 0, "supplement": 0, "c": [0, 1, 4, 6, 7, 8, 9, 10], "januari": 0, "2018": [0, 8], "doi": 0, "1016": 0, "j": [0, 1, 4], "simpat": 0, "2017": 0, "09": 0, "008": 0, "option": [0, 1, 3, 4, 6, 7, 9, 10], "pfifofastqueuedisc": 0, "1000": [0, 9], "fifoqueuedisc": 0, "redqueuedisc": 0, "25": 0, "codelqueuedisc": 0, "kilobyt": 0, "fqcodelqueuedisc": 0, "10240": 0, "piequeuedisc": 0, "mqqueuedisc": 0, "disc": 0, "capac": 0, "tbfqueuedisc": 0, "pfifo_fast": 0, "ipv6": [0, 1, 3, 9], "unless": [0, 1, 4, 6, 9, 10], "pointtopointnetdevic": [0, 1, 9, 10], "droptail": 0, "ltenetdevic": 0, "rlc": 0, "um": 0, "am": [0, 10], "uannetdevic": 0, "usual": [0, 1, 4, 7, 9, 10], "modifi": [0, 1, 4, 7, 9, 10], "p2p": 0, "setqueu": 0, "droptailqueu": 0, "maxsiz": 0, "50p": 0, "trafficcontrolhelp": 0, "tch": 0, "setrootqueuedisc": 0, "1000p": 0, "setqueuelimit": 0, "dynamicqueuelimit": 0, "holdtim": 0, "4m": 0, "explain": [1, 4, 6, 9], "concept": [1, 6, 8, 9, 10], "transpar": 1, "recommend": [1, 3, 4, 7, 8, 9], "ensur": [1, 4, 9], "firm": 1, "foundat": [1, 9], "ll": [1, 3, 4, 9, 10], "review": [1, 3, 8], "term": [1, 9], "commonli": [1, 4, 9], "network": [1, 3, 4, 5, 6, 9], "jargon": 1, "comput": [1, 3], "intention": 1, "graph": [1, 9], "repres": [1, 9, 10], "represent": 1, "peripher": 1, "card": [1, 3], "driver": [1, 9], "model": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10], "broad": [1, 6], "organ": [1, 4, 5, 7, 8], "variou": [1, 4, 7, 8, 9, 10], "resourc": [1, 5], "memori": [1, 4], "processor": 1, "cycl": 1, "disk": [1, 3, 9], "etc": [1, 6, 8], "accord": [1, 4, 10], "task": [1, 6, 9, 10], "benefit": 1, "acquir": 1, "goal": [1, 9, 10], "often": [1, 4, 6, 7, 9], "privileg": [1, 4], "chang": [1, 3, 4, 6, 7, 8, 9, 10], "trap": 1, "especi": [1, 9, 10], "idea": [1, 9, 10], "world": [1, 4, 9], "drive": [1, 9], "version": [1, 3, 7, 9, 10], "develop": [1, 2, 3, 4, 5, 6, 7, 9, 10], "special": [1, 4, 9], "orient": [1, 3, 8], "media": 1, "plug": 1, "wall": 1, "subnetwork": 1, "obstruct": 1, "wireless": [1, 5, 9], "pointtopointchannel": [1, 10], "wifichannel": 1, "pc": 1, "terminologi": [1, 4], "todai": 1, "block": 1, "unix": [1, 4, 8, 10], "piec": [1, 3, 4, 9, 10], "classifi": 1, "collect": [1, 4, 5, 9], "sever": [1, 4, 6, 7, 8, 9, 10], "design": [1, 3, 6, 8, 9, 10], "wifinetnevic": 1, "attach": [1, 4, 9, 10], "common": [1, 3, 4, 8, 9], "distinct": [1, 10], "multipoint": [1, 9], "individu": [1, 4, 10], "togeth": [1, 4, 6, 9], "download": [1, 5, 6, 8, 10], "workspac": [1, 4], "under": [1, 3, 4, 6, 8, 9, 10], "structur": [1, 6, 9, 10], "cmakelist": [1, 4], "txt": [1, 3, 4], "release_not": 1, "md": [1, 4], "testpi": 1, "supp": 1, "bind": [1, 4, 6, 7, 9], "contrib": 1, "licens": [1, 4, 6, 9], "util": [1, 3, 4, 6, 9], "contribut": [1, 2, 5, 8, 9], "src": [1, 3, 4, 9], "py": [1, 7], "doc": [1, 4, 5, 8, 9], "readm": [1, 4], "format": [1, 3, 4, 9, 10], "convent": [1, 9], "gnu": [1, 4, 6, 8, 9], "indent": [1, 9, 10], "nil": [1, 9], "somewhat": [1, 10], "controversi": 1, "subject": 1, "immedi": [1, 9], "adopt": [1, 4], "adher": 1, "eventu": [1, 4, 9, 10], "conform": 1, "rst": 1, "page": [1, 4, 6, 8, 9, 10], "team": [1, 2], "contributor": 1, "amount": [1, 9], "grumbl": 1, "correct": [1, 9], "public": [1, 5, 9], "appropri": [1, 4, 9], "legales": 1, "distribut": [1, 4, 7, 8, 9, 10], "copyright": 1, "institut": 1, "text": [1, 3, 4, 9, 10], "redistribut": [1, 9], "publish": [1, 4, 9], "hope": [1, 2, 6, 9], "warranti": [1, 9], "merchant": [1, 9], "fit": [1, 9], "FOR": [1, 9], "purpos": [1, 3, 6, 9, 10], "along": [1, 4, 6, 8, 9], "inc": [1, 9], "59": [1, 4, 9], "templ": [1, 9], "suit": [1, 4, 9], "330": [1, 9], "boston": [1, 9], "ma": [1, 9], "02111": [1, 9], "1307": [1, 9], "usa": [1, 9], "proper": [1, 9], "deal": [1, 9, 10], "group": [1, 3, 4, 10], "rel": [1, 9, 10], "recurs": [1, 9], "rather": [1, 4, 9], "possibli": [1, 8], "granular": [1, 9], "effici": 1, "debug": [1, 3, 6, 9, 10], "optim": [1, 4, 9], "automat": [1, 4, 7, 9, 10], "religi": 1, "from": [1, 3, 4, 6, 8, 9, 10], "d": [1, 4, 9, 10], "among": [1, 10], "relat": [1, 4, 8, 9, 10], "scope": [1, 3, 10], "integr": [1, 4, 6, 9], "region": 1, "fanci": 1, "unfamiliar": [1, 8, 9, 10], "consult": [1, 3, 4, 9], "almost": [1, 9], "compar": [1, 4, 6, 9], "usag": [1, 3, 4, 8], "cout": [1, 4, 9, 10], "stream": [1, 9, 10], "firstscriptexampl": [1, 10], "talk": [1, 9], "navig": [1, 9], "bar": [1, 4, 9, 10], "latest": [1, 4, 5, 8, 9], "stabl": [1, 9], "graphic": [1, 6, 9, 10], "good": [1, 3, 4, 6, 8, 9, 10], "book": [1, 8, 9], "tree": [1, 4, 5, 6, 7, 8, 9], "ti": 1, "subsystem": [1, 3, 4, 6, 7, 9, 10], "tool": [1, 3, 4, 6, 7, 8, 9, 10], "click": [1, 4, 9], "macro": [1, 4, 10], "jump": [1, 10], "overal": [1, 9], "either": [1, 3, 4, 6, 7, 8, 9], "collabor": 1, "diagram": 1, "won": [1, 4, 9, 10], "duplic": [1, 9], "summar": [1, 3, 9], "consol": [1, 4, 10], "int": [1, 9, 10], "char": [1, 9, 10], "default": [1, 3, 4, 7, 9], "setresolut": 1, "smallest": 1, "mechan": [1, 9, 10], "hungri": 1, "explicitli": [1, 9, 10], "prevent": [1, 9], "updat": [1, 4, 9], "info": [1, 4, 10], "busi": [1, 9], "job": [1, 4], "continu": [1, 3, 4, 7, 9], "handi": [1, 9], "stand": [1, 10], "pattern": [1, 3, 4, 8, 9], "low": [1, 9, 10], "roughli": 1, "intim": [1, 9], "cannot": [1, 4, 9], "interchang": 1, "perspect": [1, 9], "attribut": [1, 3, 5, 9], "gettypeid": [1, 3, 9], "visibl": [1, 6, 9, 10], "recompil": [1, 4, 9, 10], "propag": [1, 4], "subsequ": [1, 9], "prime": [1, 9], "heavi": [1, 9], "lift": 1, "care": [1, 3, 4, 9], "tcp": [1, 3, 8, 9], "mask": 1, "lower": [1, 3, 6, 9, 10], "allocat": 1, "fatal": 1, "error": [1, 9, 10], "accident": 1, "caus": [1, 4, 9, 10], "twice": [1, 4, 9], "ipv4interfac": 1, "explan": [1, 9], "live": [1, 2, 9], "snippet": [1, 9, 10], "isn": [1, 10], "anyth": [1, 9], "simpli": [1, 3, 4, 7, 9, 10], "interestingli": 1, "nodecontaint": 1, "implicit": [1, 9], "convers": 1, "smart": [1, 9], "unnam": [1, 10], "loss": 1, "signatur": [1, 3], "compil": [1, 7, 8, 9], "fine": [1, 9, 10], "explicit": [1, 4, 9, 10], "doubl": [1, 3, 9, 10], "convert": [1, 6, 10], "cast": [1, 9], "Be": 1, "rule": [1, 9], "own": [1, 4, 9], "assum": [1, 4, 6, 8, 9, 10], "happili": [1, 9], "ten": 1, "virtu": [1, 9], "substanti": [1, 9], "remoteaddress": 1, "remoteport": 1, "nine": [1, 9], "long": [1, 4, 9], "wait": [1, 9], "payload": [1, 10], "With": [1, 4], "transfer": [1, 9], "phase": [1, 4, 9], "act": [1, 6, 9], "trigger": [1, 4, 9], "chain": [1, 9], "taper": 1, "idl": 1, "insert": [1, 3, 4, 9, 10], "yourself": [1, 4], "discret": [1, 6, 7], "de": [1, 4], "proce": [1, 4, 6], "tempor": 1, "timer": [1, 4], "reschedul": 1, "expir": 1, "neighbor": 1, "solicit": 1, "queue": [1, 5, 9, 10], "stoptim": [1, 9], "absolut": [1, 9], "necessari": [1, 4], "self": [1, 9], "sustain": 1, "recur": 1, "themselv": [1, 4, 9, 10], "consequ": [1, 10], "empti": [1, 3, 9], "flowmonitor": 1, "period": [1, 9], "check": [1, 4, 7, 9], "lost": 1, "ripng": 1, "gracefulli": 1, "realtimesimul": 1, "clock": 1, "align": [1, 6, 10], "accept": [1, 4, 9], "screen": [1, 4], "trivial": [1, 4, 9], "myfirst": [1, 9, 10], "successfulli": [1, 4, 10], "scan": [1, 4, 9], "target": [1, 4, 6, 9], "scratch_myfirst": 1, "cxx": [1, 4], "cmakefil": [1, 4], "dir": [1, 4], "o": [1, 3, 4, 9], "cach": [1, 4], "00369": [1, 10], "00737": [1, 10], "silent": 1, "subdirectori": 1, "walk": [1, 4, 9, 10], "mainli": 1, "abort": 1, "view": [1, 3, 4, 7, 9, 10], "abnorm": 1, "condit": [1, 3], "chapter": [1, 2, 3, 7, 8, 9], "poke": [1, 9], "n": [2, 3, 8, 9, 10], "3": [2, 3, 8, 9, 10], "intend": [2, 4], "grow": 2, "nut": 2, "bolt": 2, "excit": 2, "expert": [2, 9], "area": [2, 4], "imposs": 2, "reader": [2, 3, 4, 7, 9], "learn": [2, 3, 6, 7, 10], "encourag": [2, 6, 8, 9, 10], "librari": [2, 4, 5, 6, 7, 9], "wiki": [2, 4, 5, 8], "progress": [3, 4, 10], "research": [3, 6], "sixth": 3, "valuabl": [3, 4], "varieti": [3, 9], "extern": [3, 6], "prefer": [3, 4, 6, 10], "map": [3, 4], "state": [3, 4, 9], "transit": [3, 10], "prohibit": 3, "cumbersom": 3, "onlin": 3, "reduct": 3, "termin": [3, 4, 9], "narrow": 3, "confid": 3, "estim": 3, "framework": [3, 6, 9], "capabl": 3, "beyond": 3, "topic": 3, "treatment": 3, "resembl": [3, 7], "useipv6": 3, "usev6": 3, "avail": [3, 4, 5, 6, 7, 8, 10], "quot": [3, 4, 10], "dev": [3, 4, 7, 8], "printglob": [3, 10], "printgroup": [3, 10], "printtypeid": [3, 10], "printattribut": [3, 10], "printhelp": [3, 7, 10], "toggl": [3, 4], "boolean": 3, "short": 3, "digress": 3, "dedic": 3, "diff": [3, 4], "probetyp": 3, "tracepath": 3, "ipv4packetprob": 3, "ipv4l3protocol": 3, "tx": 3, "els": [3, 9], "ipv6packetprob": 3, "ipv6l3protocol": 3, "plot": [3, 9], "count": [3, 4, 9], "plothelp": 3, "fourth": 3, "titl": [3, 9], "axi": 3, "label": 3, "configureplot": 3, "v": 3, "outputbyt": 3, "seri": [3, 4], "kei": [3, 5, 6, 9], "plotprob": 3, "gnuplotaggreg": 3, "key_below": 3, "written": [3, 4, 5, 6, 7, 8, 9, 10], "configurefil": 3, "fileaggreg": 3, "set2dformat": 3, "3e": 3, "tpacket": 3, "0f": 3, "writeprob": 3, "20": [3, 9], "dat": [3, 9], "plt": 3, "png": [3, 9], "sh": [3, 10], "marshal": 3, "gnuplot": [3, 9], "aim": [3, 4], "product": [3, 6], "Not": 3, "tracedvalu": 3, "plain": [3, 9], "pod": [3, 9], "delimit": 3, "timestamp": [3, 9], "within": [3, 10], "syntax": [3, 7, 10], "shell": [3, 4, 10], "imag": [3, 4], "yield": [3, 4], "why": [3, 4, 9], "wasn": 3, "answer": [3, 9], "hand": [3, 9, 10], "wild": 3, "596": 3, "60": 3, "ack": [3, 9], "match": [3, 4, 9, 10], "filenam": 3, "hardest": 3, "l3": 3, "addtracesourc": [3, 9], "maketracesourceaccessor": [3, 9], "m_txtrace": 3, "brief": [3, 5, 6, 9, 10], "callback": [3, 10], "tracedcallback": [3, 9], "although": [3, 4, 6, 8, 9, 10], "extract": [3, 9], "static": [3, 7, 9, 10], "tid": [3, 9], "setpar": [3, 9], "setgroupnam": [3, 9], "stat": [3, 4], "addconstructor": [3, 9], "m_output": 3, "m_outputbyt": 3, "legend": 3, "no_kei": 3, "key_insid": 3, "key_abov": 3, "doubleprob": 3, "uint8_t": 3, "uinteger8prob": 3, "uinteg": [3, 9], "uint16_t": [3, 9], "uinteger16prob": 3, "16": [3, 4], "uinteger32prob": 3, "32": [3, 4], "booleanprob": 3, "timeprob": 3, "tracesourc": [3, 9], "tracedsourc": 3, "packetprob": 3, "applicationpacketprob": 3, "312e": 3, "564": 3, "space_separ": 3, "comma_separ": 3, "tab_separ": 3, "wildcard": [3, 10], "field": [3, 4], "unlik": 3, "overlaid": 3, "replic": 3, "statist": [3, 4], "platform": [4, 6], "obtain": [4, 7, 9], "python": [4, 6, 7, 8, 9], "languag": [4, 6, 7, 8], "environ": [4, 5, 6, 9, 10], "principl": 4, "pre": [4, 9, 10], "edit": [4, 7, 9, 10], "rebuild": [4, 7, 10], "someon": [4, 9], "undertak": 4, "packag": [4, 7, 8, 9], "contact": 4, "mail": [4, 6], "fetch": 4, "extens": [4, 6, 7], "experienc": [4, 9], "wonder": [4, 10], "binari": [4, 7], "debian": 4, "root": [4, 10], "permiss": 4, "account": [4, 9], "parti": [4, 8], "vim": 4, "eclips": 4, "concern": [4, 6], "miss": [4, 10], "featur": [4, 6, 7, 8, 10], "wish": 4, "hint": [4, 9, 10], "tip": [4, 7], "instruct": 4, "www": [4, 7, 8, 10], "nsnam": [4, 7, 8], "org": [4, 7, 8, 10], "maco": [4, 6, 7, 8], "opportun": 4, "wealth": 4, "37": [4, 7], "clang": [4, 7], "greater": [4, 10], "python3": 4, "ninja": [4, 7], "xcodebuild": 4, "gitlab": [4, 6, 8], "com": [4, 6, 8], "tar": [4, 7], "unpack": [4, 7], "bunzip2": 4, "uncompress": 4, "too": [4, 6, 9, 10], "guidanc": 4, "parent": [4, 9], "pwd": 4, "5g": 4, "allinon": [4, 7], "compress": 4, "tarbal": 4, "bundl": 4, "local": [4, 8, 9, 10], "substitut": [4, 9], "mkdir": 4, "wget": 4, "bz2": [4, 7], "xjf": [4, 7], "browser": 4, "l": 4, "constant": [4, 9, 10], "netanim": 4, "108": 4, "skip": [4, 7, 9], "fork": [4, 6], "clone": 4, "foreign": 4, "replica": 4, "presenc": 4, "sourcecod": 4, "snapshot": 4, "pybindgen": 4, "techniqu": 4, "addon": 4, "animi": 4, "coordin": 4, "cradl": 4, "app": [4, 6, 8, 9], "envis": 4, "advanc": [4, 8, 10], "30": 4, "bakeconf": 4, "xml": 4, "enumer": [4, 9], "2086": 4, "649": 4, "delta": 4, "1404": 4, "reus": 4, "2078": 4, "1399": [4, 9], "68": 4, "mib": 4, "82": 4, "resolv": [4, 10], "todo": 4, "anim": [4, 6], "unreleas": 4, "stai": [4, 9], "newli": [4, 9], "word": [4, 9], "referenc": [4, 9], "advis": [4, 9], "bash": 4, "bake_hom": 4, "bin": 4, "pythonpath": 4, "lib": 4, "ld_library_path": 4, "ok": 4, "unzip": 4, "patch": [4, 6, 9], "usr": 4, "sbin": 4, "stage": 4, "administr": 4, "libxml2": 4, "gi": 4, "cairo": 4, "gir": 4, "pygobject": 4, "pygraphviz": 4, "qt": 4, "brite": 4, "openflow": 4, "emphas": 4, "sake": 4, "orchestr": [4, 9], "elimin": 4, "failur": 4, "anywai": [4, 9], "strictli": 4, "slight": 4, "detour": [4, 9], "cmake_build_typ": 4, "relwithdebinfo": 4, "maintain": [4, 5, 6, 7], "remov": [4, 9, 10], "reconfigur": 4, "ccach": 4, "precompil": 4, "identif": 4, "abi": 4, "mnt": 4, "gtk3_gtk": 4, "x86_64": 4, "libgtk": 4, "gtk3": 4, "librt": 4, "boost": 4, "74": 4, "boostconfig": 4, "pkgconfig": 4, "pkg": 4, "29": 4, "gsl": 4, "sphinx": [4, 5], "sy": 4, "stdint": 4, "stddef": 4, "int128_t": 4, "fail": [4, 9], "__int128_t": 4, "has_hash___int128_t": 4, "unsign": 4, "uint128_t": 4, "__uint128_t": 4, "has_hash___uint128_t": 4, "inttyp": 4, "dirent": 4, "stdlib": 4, "netpacket": 4, "getenv": 4, "antenna": 4, "aodv": 4, "bridg": 4, "hpp": 4, "si": 4, "layout": 4, "dsdv": 4, "dsr": 4, "energi": 4, "fd": 4, "if_tun": 4, "netmap_us": 4, "ioctl": 4, "libdpdk": 4, "No": [4, 9], "lr": 4, "wpan": 4, "mesh": 4, "nix": 4, "olsr": 4, "sixlowpan": 4, "spectrum": 4, "topologi": [4, 5, 9, 10], "uan": 4, "virtual": [4, 6, 7, 8, 9], "wave": 4, "wimax": 4, "summari": [4, 5], "metric": 4, "dpdk": 4, "fdnetdevic": 4, "ON": 4, "descriptor": 4, "scientif": 4, "gtkconfigstor": 4, "mpi": 4, "netmap": 4, "pyviz": 4, "sqlite": 4, "dcmake_build_typ": 4, "dns3_native_optim": 4, "dns3_exampl": 4, "dns3_test": 4, "makefil": 4, "properli": 4, "sudo": 4, "suid": 4, "certain": [4, 9], "reprint": 4, "assert": [4, 7], "introductori": 4, "against": 4, "mainstream": 4, "tend": 4, "pedant": 4, "treat": [4, 9], "older": 4, "28": 4, "prior": [4, 9], "fedora": 4, "major": [4, 9], "gcc": [4, 8], "gtk2": 4, "gtk": 4, "gtkfilechooserbutton": 4, "unnecessari": 4, "parenthes": 4, "__gtk_reserved1": 4, "werror": 4, "issu": [4, 6, 9, 10], "inclus": 4, "valid": [4, 9], "socket": [4, 5, 9], "creator": 4, "saw": [4, 9], "intermedi": [4, 10], "synonym": 4, "ns3_build_profile_debug": 4, "ns_assert": 4, "ns3_build_profile_releas": 4, "ns3_build_profile_optim": 4, "ns_build_debug": 4, "ns_build_releas": 4, "ns_build_optim": 4, "og": 4, "o2": 4, "o3": 4, "march": 4, "mtune": 4, "scenario": [4, 7, 9], "repetit": 4, "flush": 4, "dolonginvolvedcomput": 4, "endl": [4, 9, 10], "artifact": 4, "my": [4, 9, 10], "overwrit": 4, "everyth": [4, 9, 10], "mistak": [4, 9], "ns3config": 4, "ns3debug": 4, "ns3opt": 4, "distcc": 4, "cxxflags_extra": 4, "elsewher": 4, "superus": 4, "opt": 4, "deep": [4, 9], "tediou": 4, "prone": 4, "better": [4, 6, 9], "solut": [4, 9, 10], "session": 4, "ns3dir": 4, "ns3f": 4, "exec": 4, "newcom": 4, "poorli": 4, "lead": [4, 9, 10], "subtl": [4, 10], "waf": [4, 7], "dry": 4, "dns3_assert": 4, "dns3_log": 4, "runner": 4, "python_path": 4, "window": [4, 6, 7, 8, 9, 10], "msys2": [4, 7], "mingw64": [4, 7], "dll": 4, "runtim": [4, 7], "crash": 4, "reason": [4, 6, 9], "powershel": 4, "setx": 4, "perman": 4, "temporarili": 4, "system32": 4, "msys64": 4, "m": [4, 9], "plugin": 4, "menu": 4, "button": 4, "toolchain": [4, 7, 8], "folder": 4, "preconfigur": 4, "refresh": 4, "vscode": 4, "breakpoint": [4, 10], "wslv2": 4, "discoveri": 4, "re": [4, 9, 10], "reload": 4, "corner": 4, "hammer": 4, "symbol": 4, "plai": 4, "bug": 4, "chip": 4, "valgrind": 4, "analyz": [4, 6, 10], "leak": 4, "codeblock": 4, "html": [4, 8], "cbp": 4, "greet": 4, "land": 4, "bottom": 4, "watch": 4, "gxcode": 4, "xcodeproj": 4, "prompt": 4, "attack": 4, "pretend": 4, "steal": 4, "panel": 4, "central": 4, "parallel": 4, "92": 4, "problem": [4, 9, 10], "incompat": 4, "raw": 4, "sock": 4, "encod": 4, "decod": 4, "matrix": 4, "manet": 4, "742": 4, "testsuit": 4, "uniform": [4, 9, 10], "planar": 4, "arrai": 4, "angl": [4, 9], "740": 4, "wifimanag": 4, "minstrelht": 4, "6ghz": 4, "serverchannelwidth": 4, "160": 4, "clientchannelwidth": 4, "servershortguardinterv": 4, "3200": 4, "clientshortguardinterv": 4, "servernss": 4, "clientnss": 4, "steptim": 4, "741": 4, "lena": 4, "radio": 4, "numberofenb": 4, "useidealrrc": 4, "intersitedist": 4, "700": 4, "simtim": 4, "17": [4, 10], "739": 4, "quickli": [4, 7, 10], "correctli": [4, 6, 9], "okai": [4, 9], "none": [4, 10], "split": 4, "cpu": 4, "ubiquit": 4, "hello": 4, "congratul": 4, "chanc": [4, 9], "feed": 4, "templat": [4, 9], "arg": [4, 9], "recip": 4, "placehold": 4, "syntact": 4, "simpler": 4, "enclos": 4, "arg1": 4, "value1": 4, "arg2": 4, "value2": 4, "particularli": 4, "mytest": 4, "slew": 4, "repeatedli": 4, "debugg": [4, 10], "gdb": [4, 8], "checker": 4, "token": 4, "belong": [4, 9, 10], "inferior": 4, "omit": [4, 9], "cwd": [4, 10], "reduc": [4, 9], "demonstr": [4, 9], "metadata": 4, "tag": [4, 7], "met": 4, "queri": [4, 9], "33": [4, 9], "249": 4, "g80e0dd0": 4, "dirti": [4, 9], "branch": [4, 7, 9], "version_tag": 4, "closest_tag": 4, "distance_from_tag": 4, "commit_hash": 4, "tree_stat": 4, "closest": 4, "commit": 4, "hash": 4, "charact": [4, 9, 10], "uncommit": 4, "retriev": 4, "frequent": [4, 9], "longvers": 4, "shortvers": 4, "buildsummari": 4, "versiontag": 4, "minor": 4, "releasecandid": 4, "closestancestortag": 4, "tagdist": 4, "commithash": 4, "buildprofil": 4, "workingtre": 4, "revis": 4, "append": [4, 6], "gitdiff": 4, "primari": [5, 9, 10], "restructuredtext": 5, "quick": [5, 6, 8, 9, 10], "prerequisit": 5, "build": [5, 6, 8, 9, 10], "introduct": [5, 7], "git": [5, 6, 7], "overview": [5, 7, 8], "conceptu": [5, 7, 9, 10], "bu": 5, "realiti": 5, "background": 5, "motiv": 5, "gnuplothelp": [5, 9], "filehelp": 5, "conclus": 5, "primarili": [6, 9], "educ": 6, "2006": 6, "glean": 6, "unfold": 6, "delv": 6, "deeper": 6, "guid": [6, 8], "dive": 6, "worth": 6, "onset": 6, "strive": [6, 9], "backward": 6, "engin": 6, "studi": [6, 9, 10], "focus": [6, 10], "distinguish": [6, 10], "contrast": 6, "carri": [6, 9], "modular": 6, "regard": [6, 9, 10], "visual": 6, "bsd": 6, "cygwin": [6, 8], "studio": 6, "compani": 6, "best": [6, 9], "effort": [6, 10], "basi": [6, 9, 10], "forum": 6, "googlegroup": 6, "popular": [6, 10], "preced": 6, "outward": 6, "otcl": 6, "nam": 6, "pure": 6, "moreov": 6, "concentr": [6, 10], "interpret": [6, 9], "highlight": 6, "hear": 6, "opinion": [6, 9], "somehow": [6, 9], "vest": 6, "person": [6, 10], "lightli": 6, "signific": 6, "decad": 6, "remedi": [6, 9], "doubt": 6, "guidelin": 6, "mind": 6, "reli": [6, 9], "ongo": 6, "ones": 6, "polici": 6, "peopl": [6, 9], "gplv2": 6, "tracker": 6, "foremost": 6, "earli": 6, "stale": 6, "comment": [6, 9, 10], "appreci": [6, 9], "submit": 6, "merg": 6, "sampl": 6, "tri": [6, 9], "lengthier": 7, "coverag": 7, "materi": [7, 8], "he": 7, "she": 7, "workflow": 7, "aspect": 7, "xcode": [7, 8], "archiv": 7, "rest": [7, 9, 10], "master": [7, 9], "checkout": 7, "b": 7, "wrapper": [7, 9], "simplifi": 7, "profil": 7, "whose": 7, "complement": 8, "faq": [8, 9], "troubleshoot": 8, "paper": 8, "brows": 8, "past": 8, "experiment": 8, "mercuri": 8, "feat": 8, "heard": 8, "decemb": 8, "ee": 8, "usabl": [8, 9], "kitwar": 8, "idiom": [8, 9, 10], "devolv": 8, "wide": 8, "cookbook": 8, "proceed": 8, "en": 8, "wikipedia": 8, "gnu_toolchain": 8, "binutil": 8, "neither": [8, 9], "strongli": 8, "appl": 8, "degre": 8, "visit": [8, 9], "mingw": 8, "vmware": 8, "facil": [8, 9, 10], "berkelei": [8, 9], "donahoo": 8, "calvert": 8, "baylor": 8, "edu": 8, "csocket": 8, "websit": 8, "shape": 8, "multicast": 8, "makofsk": 8, "almeroth": 8, "strategi": 9, "bulk": 9, "convei": 9, "perhap": 9, "filter": [9, 10], "grep": 9, "sed": 9, "awk": 9, "transform": 9, "impos": 9, "penalti": 9, "Of": 9, "tidbit": 9, "inher": 9, "post": 9, "bottleneck": 9, "postprocess": 9, "perl": 9, "believ": 9, "straightforward": [9, 10], "iostream": [9, 10], "somefunct": 9, "some_interesting_valu": 9, "nobodi": 9, "insan": 9, "satisfactori": 9, "categori": 9, "decreas": 9, "discov": [9, 10], "potenti": 9, "tcpsocketbas": 9, "processestablish": 9, "recept": [9, 10], "syn": 9, "establish": 9, "upon": 9, "mimick": 9, "role": 9, "tcp_rcv_establish": 9, "tcp_input": 9, "tcpheader": 9, "ns_log_funct": [9, 10], "tcpflag": 9, "action": 9, "ns_log_log": [9, 10], "bodi": 9, "ignor": 9, "glanc": 9, "isol": 9, "wade": 9, "huge": 9, "extran": 9, "forc": 9, "guarante": 9, "stabil": 9, "disappear": 9, "delet": [9, 10], "affect": 9, "fast": 9, "suitabl": 9, "seriou": 9, "item": [9, 10], "entiti": [9, 10], "congest": 9, "candid": 9, "divis": [9, 10], "scatter": 9, "coexist": 9, "citizen": 9, "minut": 9, "littl": [9, 10], "awai": 9, "inter": 9, "indirect": 9, "relationship": 9, "canon": 9, "integ": 9, "pfi": 9, "myfunct": 9, "indirectli": 9, "1234": 9, "dereferenc": 9, "derefer": 9, "shorter": 9, "express": [9, 10], "held": 9, "remark": 9, "notif": 9, "perus": [9, 10], "assembl": 9, "accessor": 9, "bring": 9, "obei": 9, "semant": 9, "myobject": 9, "mygroup": 9, "myinteg": 9, "m_myint": 9, "tracedvaluecallback": 9, "int32": 9, "int32_t": 9, "member": [9, 10], "typedef": 9, "tracesink": 9, "oldvalu": 9, "newvalu": 9, "inttrac": 9, "createobject": 9, "traceconnectwithoutcontext": 9, "traceconnect": 9, "overload": 9, "fire": 9, "essenc": 9, "invoc": 9, "hit": 9, "regist": [9, 10], "soon": 9, "rare": [9, 10], "mysteri": 9, "theobject": 9, "deliv": 9, "connectwithoutcontext": 9, "lowest": 9, "eighth": 9, "widespread": 9, "inherit": 9, "predecid": 9, "getobject": 9, "presum": 9, "attent": 9, "veloc": 9, "m_coursechangetrac": 9, "coursechangecallback": 9, "notifycoursechang": 9, "puzzl": 9, "27897": 9, "22677": 9, "inevit": 9, "me": 9, "formal": 9, "got": 9, "incredibli": 9, "bizarr": 9, "sidebar": 9, "hierarchi": 9, "globalvalu": 9, "recogn": 9, "suppli": 9, "concret": 9, "knew": 9, "perfectli": 9, "asid": 9, "codebas": 9, "xarg": 9, "easiest": [9, 10], "repeat": 9, "relev": 9, "hasn": 9, "challeng": 9, "embark": 9, "keyword": 9, "bumpi": 9, "ride": 9, "handl": 9, "pain": 9, "bracket": 9, "303": 9, "fly": 9, "pipe": [9, 10], "wc": 9, "bad": 9, "suspici": 9, "stuff": 9, "t1": 9, "t2": 9, "t3": 9, "t4": 9, "t5": 9, "t6": 9, "t7": 9, "t8": 9, "callbackb": 9, "disconnectwithoutcontext": 9, "disconnect": 9, "callba": 9, "a1": 9, "a2": 9, "sound": 9, "promis": 9, "confirm": [9, 10], "hunch": 9, "gone": 9, "normal": 9, "typenam": 9, "cb": 9, "m_callbacklist": 9, "push_back": 9, "belli": 9, "beast": 9, "trick": 9, "incomprehens": 9, "fortun": 9, "english": 9, "functor": 9, "reread": 9, "eleg": 9, "gloss": 9, "postfix": 9, "qualifi": 9, "volum": 9, "w": 9, "richard": 9, "steven": 9, "classic": 9, "flip": 9, "ran": [9, 10], "versu": 9, "366": 9, "21": 9, "cwnd": 9, "recreat": 9, "congestionwindow": 9, "slowstartthreshold": 9, "threshold": 9, "mostli": 9, "bic": 9, "priori": 9, "uint32": 9, "lai": 9, "ns3tcp": 9, "minim": 9, "bet": 9, "ns3tcpsocket": 9, "ns3tcpcwndtestcase1": 9, "cwndchang": 9, "dorun": 9, "wrap": [9, 10], "extrem": [9, 10], "trip": 9, "setup": 9, "teardown": 9, "apart": 9, "vast": 9, "startappl": [9, 10], "didn": 9, "conundrum": 9, "decis": [9, 10], "dissect": 9, "fstream": 9, "fifthscriptexampl": 9, "rehash": 9, "mbp": 9, "crank": 9, "sender": 9, "wouldn": 9, "couldn": 9, "cook": 9, "explanatori": 9, "npacket": [9, 10], "privat": 9, "stopappl": [9, 10], "scheduletx": 9, "sendpacket": 9, "m_socket": 9, "m_peer": 9, "m_packets": 9, "m_npacket": 9, "m_datar": 9, "eventid": 9, "m_sendev": 9, "m_run": 9, "m_packetss": 9, "oblig": 9, "overrid": 9, "aren": 9, "plan": 9, "ventur": 9, "gut": 9, "touch": 9, "expos": 9, "pump": 9, "hopefulli": 9, "setstarttim": 9, "starttim": 9, "setstoptim": 9, "cancel": 9, "pend": 9, "automag": 9, "m_starttim": 9, "m_stoptim": 9, "trail": 9, "nodelistpriv": 9, "idom": 9, "schedulewithcontext": 9, "timestep": 9, "doiniti": 9, "methodnam": 9, "domethodnam": 9, "m_startev": 9, "m_stopev": 9, "kept": 9, "straight": 9, "overridden": 9, "journei": 9, "destructor": 9, "wrote": 9, "tcpsocket": 9, "shouldn": 9, "defer": 9, "isrun": 9, "break": [9, 10], "quiet": 9, "tear": 9, "tnext": 9, "static_cast": 9, "getbitr": 9, "alert": 9, "spot": 9, "transport": 9, "overflow": 9, "oldcwnd": 9, "newcwnd": 9, "getsecond": 9, "dwell": 9, "imagin": 9, "excel": 9, "rxdrop": 9, "phyrxdrop": 9, "m_phyrxdroptrac": 9, "errormodel": 9, "rateerrormodel": 9, "em": 9, "errorr": 9, "00001": 9, "receiveerrormodel": 9, "pointervalu": 9, "retransmiss": 9, "252": 9, "packetsink": 9, "sinkport": 9, "8080": 9, "sinkaddress": 9, "inetsocketaddress": 9, "packetsinkhelp": 9, "tcpsocketfactori": 9, "ipv4address": 9, "getani": 9, "sinkapp": 9, "factori": 9, "createsocket": 9, "troubl": 9, "1040": 9, "1mbp": 9, "addappl": 9, "teach": 9, "gori": 9, "00419": 9, "536": 9, "0093": 9, "1072": 9, "01528": 9, "1608": 9, "02167": 9, "2144": 9, "11319": 9, "8040": 9, "12151": 9, "8576": 9, "12983": 9, "9112": 9, "13696": 9, "downsid": 9, "redirect": [9, 10], "statu": 9, "rid": 9, "640": 9, "480": 9, "linespoint": 9, "sit": 9, "purport": 9, "bear": [9, 10], "outputstreamwrapp": 9, "getstream": 9, "pcapfilewrapp": 9, "safe": 9, "aliv": 9, "lifetim": [9, 10], "solv": 9, "ostream": 9, "mark": 9, "ofstream": [9, 10], "somewher": 9, "asciitracehelp": [9, 10], "createfilestream": [9, 10], "makeboundcallback": 9, "pcaphelp": 9, "createfil": 9, "io": 9, "dlt_ppp": 9, "rich": 9, "truncat": 9, "front": 9, "bpf": 9, "dlt_en10mb": 9, "dlt_ieee802_11": 9, "heavyweight": 9, "lightweight": 9, "assumpt": 9, "power": 9, "simplerefcount": 9, "mere": 9, "intrus": 9, "necessarili": 9, "cat": 9, "viewer": 9, "69256": 9, "5149": 9, "5204": 9, "89311": 9, "5259": 9, "fiew": 9, "136956": 9, "seq": 9, "17177": 9, "17681": 9, "win": 9, "32768": [9, 10], "val": 9, "1133": 9, "ecr": 9, "1127": 9, "eol": 9, "504": 9, "403196": 9, "33280": 9, "33784": 9, "1394": 9, "426220": 9, "785704": 9, "786240": 9, "7423": 9, "7421": 9, "630693": 9, "882688": 9, "883224": 9, "9620": 9, "9618": 9, "life": 9, "middl": 9, "enableasciial": [9, 10], "tr": [9, 10], "big": 9, "fall": 9, "taxonomi": 9, "subtleti": 9, "ident": 9, "analog": [9, 10], "mixin": 9, "flavor": 9, "pcaphelperfordevic": 9, "enablepcapintern": 9, "nd": 9, "explicitfilenam": 9, "centric": 9, "pcapuserhelperfordevic": 9, "ndname": 9, "nodeid": 9, "deviceid": 9, "ath0": 9, "iter": 9, "asciitracehelperfordevic": 9, "enableasciiintern": 9, "enableascii": 9, "uniqu": 9, "redund": 9, "suffix": [9, 10], "nd1": 9, "nd2": 9, "disambigu": 9, "appli": 9, "enablepcapipv6": 9, "enablepcapipv4": 9, "pcaphelperforipv4": 9, "enablepcapipv4intern": 9, "constraint": 9, "six": 9, "ipv4nam": 9, "enablepcapipv4al": 9, "serveripv4": 9, "devicehelp": 9, "translat": 9, "n21": 9, "i1": 9, "nserveripv4": 9, "enableasciiipv6": 9, "enableasciiipv4": 9, "asciitracehelperforipv4": 9, "enableasciiipv4intern": 9, "pcapandasciitracehelperforipv4": 9, "enableasciiipv4al": 9, "pcapandasciihelperforipv4": 9, "protocol1": 9, "node1": 9, "protocol2": 9, "node2": 9, "node1ipv4": 9, "node2ipv4": 9, "nnode1ipv4": 9, "nnode2ipv4": 9, "custom": [9, 10], "sophist": 9, "alter": 9, "unexport": 9, "higher": 9, "comprehens": 9, "digest": 9, "closer": 10, "stderr": 10, "blur": 10, "log_error": 10, "ns_log_error": 10, "log_warn": 10, "ns_log_warn": 10, "log_debug": 10, "hoc": 10, "ns_log_debug": 10, "log_info": 10, "ns_log_info": 10, "log_funct": 10, "ns_log_function_noarg": 10, "log_log": 10, "logic": 10, "log_al": 10, "log_typ": 10, "log_level_typ": 10, "log_level_error": 10, "log_level_al": 10, "uncondit": 10, "irrespect": 10, "singli": 10, "cumul": 10, "great": 10, "csh": 10, "setenv": 10, "respond": 10, "level_al": 10, "udpechocli": 10, "0xef90d0": 10, "setdatas": 10, "scheduletransmit": 10, "0n": 10, "handleread": 10, "0xee7b20": 10, "dodispos": 10, "colon": 10, "intent": 10, "remind": 10, "OR": 10, "ing": 10, "prefix_func": 10, "vertic": 10, "connector": 10, "0xea8e50": 10, "0xea5b20": 10, "clearli": 10, "hexadecim": 10, "newlin": 10, "udpechoserv": 10, "0x2101590": 10, "0x2101820": 10, "0x2106240": 10, "0x21134b0": 10, "ORing": 10, "prefix_tim": 10, "000000000": 10, "0x8edfc0": 10, "0x8ee210": 10, "003686400": 10, "0x936770": 10, "007372800": 10, "0x8f3140": 10, "elaps": 10, "69": 10, "asterisk": 10, "thousand": 10, "wrong": 10, "grain": 10, "unexpect": 10, "torrent": 10, "equal": 10, "parser": 10, "door": 10, "sort": 10, "printvers": 10, "32768bp": 10, "overrod": 10, "0x20d0d10": 10, "257324218": 10, "0x20900b0": 10, "25732": 10, "51465": 10, "0073728": 10, "formula": 10, "recov": 10, "0x1df20f0": 10, "0x1de0250": 10, "folk": 10, "aris": 10, "capit": 10, "letter": 10, "pppheader": 10, "0x836e50": 10, "0x8450c0": 10, "painlessli": 10, "thought": 10, "rational": 10, "her": 10, "nest": 10, "movement": 10, "repo": 10, "dens": 10, "widen": 10, "consider": 10, "lone": 10, "enqueu": 10, "dequeu": 10, "clariti": 10, "devicelist": 10, "txqueue": 10, "0x0021": 10, "ipv4head": 10, "tos": 10, "0x0": 10, "ttl": 10, "64": 10, "offset": 10, "1052": 10, "udphead": 10, "1032": 10, "inde": 10, "filesystem": 10, "meant": 10, "intuit": 10, "macrx": 10, "02": 10, "acronym": 10, "formerli": 10, "ether": 10, "exploit": 10, "514648": 10, "257324": 10}, "objects": {}, "objtypes": {}, "objnames": {}, "titleterms": {"build": [0, 1, 4, 7], "topologi": [0, 1], "bu": 0, "network": 0, "model": 0, "attribut": [0, 10], "realiti": 0, "wireless": 0, "queue": 0, "n": [0, 1, 4, 5, 6, 7], "3": [0, 1, 4, 5, 6, 7], "avail": [0, 9], "chang": 0, "from": [0, 7], "default": [0, 10], "conceptu": 1, "overview": [1, 4, 9, 10], "kei": 1, "abstract": 1, "node": 1, "applic": [1, 9], "channel": 1, "net": 1, "devic": [1, 9], "helper": [1, 9], "A": 1, "first": 1, "script": [1, 4], "boilerpl": 1, "modul": [1, 10], "includ": 1, "ns3": [1, 4], "namespac": 1, "log": [1, 10], "main": [1, 9], "function": 1, "nodecontain": 1, "pointtopointhelp": 1, "netdevicecontain": 1, "internetstackhelp": 1, "ipv4addresshelp": 1, "udpechoserverhelp": 1, "udpechoclienthelp": 1, "simul": 1, "when": 1, "stop": [1, 9], "your": [1, 10], "sourc": [1, 4, 9], "code": [1, 3, 4, 10], "conclus": 2, "futur": 2, "close": 2, "data": 3, "collect": 3, "motiv": 3, "exampl": [3, 9], "gnuplothelp": 3, "support": 3, "trace": [3, 9, 10], "type": 3, "filehelp": 3, "summari": [3, 7, 9], "get": 4, "start": [4, 7, 9], "prerequisit": [4, 7], "download": [4, 7], "releas": [4, 7], "archiv": 4, "us": [4, 9, 10], "git": [4, 8], "bake": 4, "py": 4, "cmake": [4, 8], "wrapper": 4, "handl": 4, "error": 4, "configur": 4, "v": 4, "profil": 4, "compil": 4, "flag": 4, "instal": 4, "One": 4, "command": [4, 10], "run": [4, 9], "id": 4, "microsoft": 4, "visual": 4, "studio": 4, "jetbrain": 4, "clion": 4, "block": 4, "appl": 4, "xcode": 4, "test": [4, 7], "program": [4, 8, 9], "argument": [4, 10], "debug": 4, "work": 4, "directori": 4, "without": 4, "version": 4, "tutori": [5, 6], "introduct": 6, "about": 6, "For": 6, "2": 6, "user": 6, "contribut": 6, "organ": 6, "quick": 7, "brief": 7, "latest": 7, "clone": 7, "gitlab": 7, "com": 7, "resourc": 8, "The": [8, 9], "web": 8, "develop": 8, "environ": 8, "socket": 8, "background": 9, "blunt": 9, "instrument": 9, "simpl": 9, "callback": 9, "walkthrough": 9, "fourth": 9, "cc": 9, "connect": 9, "config": 9, "find": 9, "path": 9, "signatur": 9, "implement": 9, "tracedvalu": 9, "real": 9, "dynam": 9, "fifth": 9, "myapp": 9, "sink": 9, "mid": 9, "level": 9, "sixth": 9, "pcap": [9, 10], "method": 9, "filenam": 9, "ascii": [9, 10], "protocol": 9, "tweak": 10, "enabl": 10, "ad": 10, "line": 10, "overrid": 10, "hook": 10, "own": 10, "valu": 10, "system": 10, "pars": 10, "read": 10, "output": 10, "tcpdump": 10, "wireshark": 10}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Building Topologies": [[0, "building-topologies"]], "Building a Bus Network Topology": [[0, "building-a-bus-network-topology"]], "Models, Attributes and Reality": [[0, "models-attributes-and-reality"]], "Building a Wireless Network Topology": [[0, "building-a-wireless-network-topology"]], "Queues in ns-3": [[0, "queues-in-ns-3"]], "Available queueing models in ns-3": [[0, "available-queueing-models-in-ns3"]], "Changing from the defaults": [[0, "changing-from-the-defaults"]], "Conceptual Overview": [[1, "conceptual-overview"]], "Key Abstractions": [[1, "key-abstractions"]], "Node": [[1, "node"]], "Application": [[1, "application"]], "Channel": [[1, "channel"]], "Net Device": [[1, "net-device"]], "Topology Helpers": [[1, "topology-helpers"], [1, "id2"]], "A First ns-3 Script": [[1, "a-first-ns-3-script"]], "Boilerplate": [[1, "boilerplate"]], "Module Includes": [[1, "module-includes"]], "Ns3 Namespace": [[1, "ns3-namespace"]], "Logging": [[1, "logging"]], "Main Function": [[1, "main-function"]], "NodeContainer": [[1, "nodecontainer"]], "PointToPointHelper": [[1, "pointtopointhelper"]], "NetDeviceContainer": [[1, "netdevicecontainer"]], "InternetStackHelper": [[1, "internetstackhelper"]], "Ipv4AddressHelper": [[1, "ipv4addresshelper"]], "Applications": [[1, "applications"]], "UdpEchoServerHelper": [[1, "udpechoserverhelper"]], "UdpEchoClientHelper": [[1, "udpechoclienthelper"]], "Simulator": [[1, "simulator"]], "When the simulator will stop?": [[1, "when-the-simulator-will-stop"]], "Building Your Script": [[1, "building-your-script"]], "Ns-3 Source Code": [[1, "ns-3-source-code"]], "Conclusion": [[2, "conclusion"]], "Futures": [[2, "futures"]], "Closing": [[2, "closing"]], "Data Collection": [[3, "data-collection"]], "Motivation": [[3, "motivation"]], "Example Code": [[3, "example-code"]], "GnuplotHelper": [[3, "gnuplothelper"]], "Supported Trace Types": [[3, "supported-trace-types"]], "FileHelper": [[3, "filehelper"]], "Summary": [[3, "summary"], [9, "summary"]], "Getting Started": [[4, "getting-started"]], "Overview": [[4, "overview"], [9, "overview"]], "Prerequisites": [[4, "prerequisites"], [7, "prerequisites"]], "Downloading a release of ns-3 as a source archive": [[4, "downloading-a-release-of-ns-3-as-a-source-archive"]], "Downloading ns-3 using Git": [[4, "downloading-ns-3-using-git"]], "Downloading ns-3 Using Bake": [[4, "downloading-ns-3-using-bake"]], "Building ns-3": [[4, "building-ns-3"]], "Building with build.py": [[4, "building-with-build-py"]], "Building with bake": [[4, "building-with-bake"]], "Building with the ns3 CMake wrapper": [[4, "building-with-the-ns3-cmake-wrapper"]], "Handling build errors": [[4, "handling-build-errors"]], "Configure vs. Build": [[4, "configure-vs-build"]], "Build Profiles": [[4, "build-profiles"]], "Build profiles": [[4, "id3"]], "Compilers and Flags": [[4, "compilers-and-flags"]], "Install": [[4, "install"]], "One ns3": [[4, "one-ns3"]], "Building with CMake": [[4, "building-with-cmake"]], "Configuration command": [[4, "configuration-command"]], "Build command": [[4, "build-command"]], "Run command": [[4, "run-command"]], "Building with IDEs": [[4, "building-with-ides"]], "Microsoft Visual Studio Code": [[4, "microsoft-visual-studio-code"]], "JetBrains CLion": [[4, "jetbrains-clion"]], "Code::Blocks": [[4, "code-blocks"]], "Apple XCode": [[4, "apple-xcode"]], "Testing ns-3": [[4, "testing-ns-3"]], "Running a Script": [[4, "running-a-script"]], "Program Arguments": [[4, "program-arguments"]], "Debugging": [[4, "debugging"]], "Working Directory": [[4, "working-directory"]], "Running without Building": [[4, "running-without-building"]], "Build version": [[4, "build-version"]], "Source version": [[4, "source-version"]], "ns-3 Tutorial": [[5, "ns-3-tutorial"]], "Introduction": [[6, "introduction"]], "About ns-3": [[6, "about-ns-3"]], "For ns-2 Users": [[6, "for-ns-2-users"]], "Contributing": [[6, "contributing"]], "Tutorial Organization": [[6, "tutorial-organization"]], "Quick Start": [[7, "quick-start"]], "Brief Summary": [[7, "brief-summary"]], "Downloading ns-3": [[7, "downloading-ns-3"]], "Downloading the Latest Release": [[7, "downloading-the-latest-release"]], "Cloning ns-3 from GitLab.com": [[7, "cloning-ns-3-from-gitlab-com"]], "Building and testing ns-3": [[7, "building-and-testing-ns-3"]], "Resources": [[8, "resources"]], "The Web": [[8, "the-web"]], "Git": [[8, "git"]], "CMake": [[8, "cmake"]], "Development Environment": [[8, "development-environment"]], "Socket Programming": [[8, "socket-programming"]], "Tracing": [[9, "tracing"]], "Background": [[9, "background"]], "Blunt Instruments": [[9, "blunt-instruments"]], "Simple Example": [[9, "simple-example"]], "Callbacks": [[9, "callbacks"]], "Walkthrough: fourth.cc": [[9, "walkthrough-fourth-cc"]], "Connect with Config": [[9, "connect-with-config"]], "Finding Sources": [[9, "finding-sources"]], "Available Sources": [[9, "available-sources"], [9, "id1"]], "Config Paths": [[9, "config-paths"]], "Callback Signatures": [[9, "callback-signatures"]], "Implementation": [[9, "implementation"]], "TracedValues": [[9, "tracedvalues"]], "Real Example": [[9, "real-example"]], "Finding Examples": [[9, "finding-examples"]], "Dynamic Trace Sources": [[9, "dynamic-trace-sources"]], "Walkthrough: fifth.cc": [[9, "walkthrough-fifth-cc"]], "Starting/Stopping Applications": [[9, "starting-stopping-applications"]], "The MyApp Application": [[9, "the-myapp-application"]], "Trace Sinks": [[9, "trace-sinks"]], "Main Program": [[9, "main-program"]], "Running fifth.cc": [[9, "running-fifth-cc"]], "Using Mid-Level Helpers": [[9, "using-mid-level-helpers"]], "Walkthrough: sixth.cc": [[9, "walkthrough-sixth-cc"]], "Trace Helpers": [[9, "trace-helpers"]], "Device Helpers": [[9, "device-helpers"]], "PCAP": [[9, "pcap"], [9, "id4"]], "Methods": [[9, "methods"], [9, "id2"], [9, "id5"], [9, "id8"]], "Filenames": [[9, "filenames"], [9, "id3"], [9, "id6"], [9, "id9"]], "ASCII": [[9, "ascii"], [9, "id7"]], "Protocol Helpers": [[9, "protocol-helpers"]], "Tweaking": [[10, "tweaking"]], "Using the Logging Module": [[10, "using-the-logging-module"]], "Logging Overview": [[10, "logging-overview"]], "Enabling Logging": [[10, "enabling-logging"]], "Adding Logging to your Code": [[10, "adding-logging-to-your-code"]], "Using Command Line Arguments": [[10, "using-command-line-arguments"]], "Overriding Default Attributes": [[10, "overriding-default-attributes"]], "Hooking Your Own Values": [[10, "hooking-your-own-values"]], "Using the Tracing System": [[10, "using-the-tracing-system"]], "ASCII Tracing": [[10, "ascii-tracing"]], "Parsing Ascii Traces": [[10, "parsing-ascii-traces"]], "PCAP Tracing": [[10, "pcap-tracing"]], "Reading output with tcpdump": [[10, "reading-output-with-tcpdump"]], "Reading output with Wireshark": [[10, "reading-output-with-wireshark"]]}, "indexentries": {}})