Search.setIndex({"docnames": ["animation", "antenna", "antenna-design", "antenna-testing", "antenna-user", "aodv", "applications", "bridge", "brite", "buildings", "buildings-design", "buildings-references", "buildings-testing", "buildings-user", "click", "cobalt", "codel", "csma", "distributed", "dpdk-net-device", "dsdv", "dsr", "emulation-overview", "energy", "error-model", "fd-net-device", "fifo", "flow-monitor", "fq-cobalt", "fq-codel", "fq-pie", "index", "internet-apps", "internet-models", "internet-stack", "ipv4", "ipv6", "lr-wpan", "lte", "lte-design", "lte-profiling", "lte-references", "lte-testing", "lte-user", "mesh", "mesh-design", "mesh-references", "mesh-testing", "mesh-user", "mobility", "mq", "netmap-net-device", "network", "network-overview", "nix-vector-routing", "olsr", "openflow-switch", "organization", "packets", "pfifo-fast", "pie", "point-to-point", "prio", "propagation", "queue", "queue-discs", "queue-limits", "red", "routing-overview", "simple", "sixlowpan", "sockets-api", "spectrum", "tap", "tbf", "tcp", "topology", "traffic-control", "traffic-control-layer", "uan", "udp", "wave", "wifi", "wifi-changelog", "wifi-design", "wifi-references", "wifi-testing", "wifi-user", "wimax"], "filenames": ["animation.rst", "antenna.rst", "antenna-design.rst", "antenna-testing.rst", "antenna-user.rst", "aodv.rst", "applications.rst", "bridge.rst", "brite.rst", "buildings.rst", "buildings-design.rst", "buildings-references.rst", "buildings-testing.rst", "buildings-user.rst", "click.rst", "cobalt.rst", "codel.rst", "csma.rst", "distributed.rst", "dpdk-net-device.rst", "dsdv.rst", "dsr.rst", "emulation-overview.rst", "energy.rst", "error-model.rst", "fd-net-device.rst", "fifo.rst", "flow-monitor.rst", "fq-cobalt.rst", "fq-codel.rst", "fq-pie.rst", "index.rst", "internet-apps.rst", "internet-models.rst", "internet-stack.rst", "ipv4.rst", "ipv6.rst", "lr-wpan.rst", "lte.rst", "lte-design.rst", "lte-profiling.rst", "lte-references.rst", "lte-testing.rst", "lte-user.rst", "mesh.rst", "mesh-design.rst", "mesh-references.rst", "mesh-testing.rst", "mesh-user.rst", "mobility.rst", "mq.rst", "netmap-net-device.rst", "network.rst", "network-overview.rst", "nix-vector-routing.rst", "olsr.rst", "openflow-switch.rst", "organization.rst", "packets.rst", "pfifo-fast.rst", "pie.rst", "point-to-point.rst", "prio.rst", "propagation.rst", "queue.rst", "queue-discs.rst", "queue-limits.rst", "red.rst", "routing-overview.rst", "simple.rst", "sixlowpan.rst", "sockets-api.rst", "spectrum.rst", "tap.rst", "tbf.rst", "tcp.rst", "topology.rst", "traffic-control.rst", "traffic-control-layer.rst", "uan.rst", "udp.rst", "wave.rst", "wifi.rst", "wifi-changelog.rst", "wifi-design.rst", "wifi-references.rst", "wifi-testing.rst", "wifi-user.rst", "wimax.rst"], "titles": ["Animation", "Antenna Module", "Design documentation", "Testing Documentation", "User Documentation", "Ad Hoc On-Demand Distance Vector (AODV)", "3GPP HTTP applications", "Bridge NetDevice", "BRITE Integration", "Buildings Module", "Design documentation", "References", "Testing Documentation", "User Documentation", "Click Modular Router Integration", "Cobalt queue disc", "CoDel queue disc", "CSMA NetDevice", "MPI for Distributed Simulation", "DPDK NetDevice", "DSDV Routing", "DSR Routing", "Emulation Overview", "Energy Framework", "Error Model", "File Descriptor NetDevice", "Fifo queue disc", "Flow Monitor", "FqCobalt queue disc", "FqCoDel queue disc", "FQ-PIE queue disc", "ns-3 Model Library", "Internet Applications Module Documentation", "Internet Models (IP, TCP, Routing, UDP, Internet Applications)", "Internet Stack", "IPv4", "IPv6", "Low-Rate Wireless Personal Area Network (LR-WPAN)", "LTE Module", "Design Documentation", "Profiling Documentation", "References", "Testing Documentation", "User Documentation", "Wi-Fi Mesh Module Documentation", "Design Documentation", "References", "Testing Documentation", "User Documentation", "Mobility", "Mq queue disc", "Netmap NetDevice", "Network Module", "Node and NetDevices Overview", "Nix-Vector Routing Documentation", "Optimized Link State Routing (OLSR)", "OpenFlow switch support", "Organization", "Packets", "pfifo_fast queue disc", "PIE queue disc", "PointToPoint NetDevice", "Prio queue disc", "Propagation", "Queues", "Queue disciplines", "Queue limits", "RED queue disc", "Routing overview", "Simple NetDevice", "6LoWPAN: Transmission of IPv6 Packets over IEEE 802.15.4 Networks", "Sockets APIs", "Spectrum Module", "Tap NetDevice", "TBF queue disc", "TCP models in ns-3", "Topology Input Readers", "Traffic Control Layer", "Traffic Control Layer", "UAN Framework", "UDP model in ns-3", "WAVE models", "Wi-Fi Module", "API and behavior changes across releases", "Design Documentation", "References", "Testing Documentation", "User Documentation", "Wimax NetDevice"], "terms": {"n": [0, 2, 4, 5, 6, 7, 8, 10, 11, 12, 13, 15, 16, 17, 18, 19, 20, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 33, 34, 35, 37, 38, 40, 41, 42, 43, 45, 46, 47, 48, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 72, 73, 74, 76, 78, 79, 81, 83, 84, 85, 86, 87, 88], "3": [0, 2, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 16, 17, 18, 20, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 33, 35, 37, 38, 40, 41, 42, 43, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 72, 73, 74, 76, 78, 79, 81, 83, 84, 85, 86, 87, 88], "i": [0, 2, 3, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 34, 35, 36, 37, 38, 40, 41, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 83, 84, 85, 86, 87, 88], "an": [0, 2, 5, 6, 8, 10, 12, 13, 14, 15, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 29, 30, 34, 35, 36, 37, 39, 40, 41, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 84, 86, 87, 88], "import": [0, 13, 17, 18, 23, 25, 27, 35, 36, 37, 39, 43, 57, 58, 63, 68, 70, 71, 72, 75, 78, 79, 80, 81, 84, 88], "tool": [0, 8, 15, 22, 27, 29, 40, 43, 49, 60, 67, 75, 79, 81], "network": [0, 2, 6, 8, 14, 15, 17, 19, 21, 22, 23, 24, 25, 27, 28, 30, 31, 32, 33, 34, 35, 38, 39, 40, 41, 42, 45, 46, 49, 51, 54, 55, 56, 57, 58, 61, 64, 65, 66, 68, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 85, 87], "simul": [0, 2, 6, 8, 9, 10, 12, 17, 19, 21, 22, 23, 25, 27, 31, 34, 35, 36, 37, 38, 41, 42, 45, 46, 49, 51, 53, 54, 55, 56, 57, 58, 61, 63, 68, 71, 72, 73, 75, 76, 77, 79, 81, 84, 85, 86, 87, 88], "while": [0, 2, 5, 10, 17, 18, 19, 21, 23, 25, 27, 29, 36, 37, 39, 42, 43, 49, 51, 54, 58, 63, 65, 66, 71, 72, 74, 75, 76, 79, 81, 84, 86, 87], "doe": [0, 6, 8, 10, 15, 16, 17, 18, 21, 24, 25, 27, 29, 30, 32, 34, 35, 36, 37, 39, 42, 43, 45, 50, 51, 54, 55, 56, 58, 63, 64, 65, 67, 68, 70, 71, 72, 73, 74, 75, 81, 84, 87, 88], "contain": [0, 5, 6, 8, 10, 17, 18, 19, 21, 23, 24, 25, 27, 32, 34, 35, 36, 37, 39, 42, 43, 49, 53, 54, 55, 57, 58, 61, 62, 63, 65, 66, 67, 72, 73, 75, 79, 80, 81, 84, 87, 88], "default": [0, 2, 5, 6, 8, 10, 12, 13, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 29, 30, 32, 33, 34, 35, 36, 37, 39, 40, 42, 45, 49, 50, 54, 55, 57, 58, 59, 60, 62, 63, 65, 66, 67, 70, 71, 72, 73, 74, 75, 79, 81, 82, 84, 86, 88], "graphic": 0, "we": [0, 2, 3, 5, 8, 10, 12, 13, 14, 17, 19, 20, 21, 22, 23, 25, 29, 34, 37, 39, 40, 42, 43, 45, 49, 53, 54, 56, 58, 61, 63, 65, 68, 71, 72, 73, 75, 78, 79, 80, 81, 83, 84, 87, 88], "current": [0, 4, 5, 14, 15, 16, 19, 20, 23, 25, 29, 30, 32, 33, 34, 36, 37, 39, 42, 43, 45, 49, 54, 56, 58, 60, 63, 64, 66, 67, 68, 71, 72, 76, 78, 79, 80, 81, 84, 86, 88], "have": [0, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 29, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 54, 56, 58, 59, 61, 62, 63, 65, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 83, 84, 87, 88], "two": [0, 5, 8, 10, 12, 13, 16, 17, 18, 21, 22, 23, 24, 25, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 43, 45, 49, 51, 54, 56, 57, 58, 61, 62, 63, 64, 65, 67, 68, 70, 71, 72, 74, 75, 76, 78, 79, 81, 84, 86, 87, 88], "wai": [0, 2, 5, 6, 12, 13, 14, 17, 18, 19, 21, 23, 25, 27, 29, 35, 36, 39, 40, 42, 43, 56, 58, 61, 63, 65, 67, 71, 72, 73, 75, 76, 79, 84, 87, 88], "provid": [0, 2, 3, 6, 8, 10, 12, 13, 14, 15, 16, 17, 19, 22, 23, 24, 25, 26, 27, 29, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 54, 56, 57, 58, 59, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 84, 86, 87, 88], "name": [0, 12, 14, 15, 17, 18, 19, 23, 25, 27, 35, 36, 37, 39, 42, 43, 49, 51, 56, 57, 58, 63, 70, 71, 72, 73, 75, 80, 81, 84, 87, 88], "us": [0, 2, 4, 5, 6, 7, 8, 9, 10, 12, 14, 15, 16, 20, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 38, 40, 44, 45, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 62, 63, 64, 65, 66, 67, 68, 72, 74, 75, 76, 78, 80, 81, 82, 83, 84, 85, 86], "pyviz": [0, 8], "method": [0, 3, 5, 9, 12, 14, 17, 18, 19, 21, 25, 27, 29, 34, 35, 36, 37, 39, 42, 43, 49, 51, 55, 58, 61, 63, 64, 65, 67, 68, 71, 72, 73, 75, 78, 79, 80, 81, 84, 87, 88], "The": [0, 2, 3, 4, 5, 6, 8, 9, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 28, 29, 30, 32, 34, 35, 36, 37, 38, 40, 41, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 82, 86, 87, 88], "describ": [0, 2, 3, 5, 8, 10, 13, 15, 16, 17, 18, 25, 27, 28, 29, 30, 34, 35, 36, 37, 39, 42, 43, 45, 49, 50, 51, 53, 55, 58, 60, 63, 65, 67, 68, 70, 71, 72, 73, 74, 75, 79, 80, 81, 84, 86, 87, 88], "http": [0, 8, 14, 15, 16, 18, 19, 20, 21, 22, 23, 24, 27, 28, 29, 31, 36, 37, 45, 49, 51, 56, 60, 61, 67, 68, 70, 72, 74, 75, 79, 81, 85], "www": [0, 8, 16, 19, 21, 22, 23, 29, 36, 45, 49, 51, 60, 61, 67, 68, 70, 72, 75, 79, 85], "nsnam": [0, 8, 45, 49, 56], "org": [0, 8, 14, 15, 16, 18, 19, 20, 21, 22, 23, 24, 27, 29, 36, 37, 39, 45, 56, 60, 61, 67, 70, 72, 74, 75, 79, 81, 85], "briefli": [0, 32, 37, 39, 42, 43], "here": [0, 13, 18, 22, 39, 42, 43, 58, 61, 63, 71, 72, 73, 75, 76, 79, 80, 81, 84, 87], "standalon": 0, "qt4": 0, "base": [0, 2, 4, 5, 6, 10, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 28, 29, 30, 34, 35, 36, 37, 38, 41, 42, 45, 49, 51, 53, 54, 55, 56, 57, 58, 59, 60, 62, 63, 64, 65, 66, 67, 68, 71, 72, 74, 75, 79, 80, 81, 84, 86, 87, 88], "softwar": [0, 14, 22, 38, 39, 43, 53, 56, 57, 79, 84], "execut": [0, 17, 18, 19, 25, 38, 40, 42, 43, 49, 57, 68, 72, 75], "dure": [0, 10, 17, 19, 25, 36, 37, 39, 42, 43, 49, 51, 54, 58, 63, 68, 73, 75, 79, 81, 84, 86, 87, 88], "displai": [0, 39, 75], "topologi": [0, 8, 27, 31, 39, 40, 42, 43, 45, 49, 54, 55, 68, 75, 88], "packet": [0, 5, 6, 15, 16, 17, 18, 20, 21, 22, 24, 25, 26, 29, 30, 31, 32, 33, 36, 37, 38, 39, 40, 41, 42, 45, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 72, 73, 74, 75, 77, 79, 80, 81, 82, 84, 87], "flow": [0, 17, 19, 21, 25, 29, 30, 31, 34, 36, 39, 42, 43, 51, 56, 58, 61, 65, 68, 71, 73, 75, 78, 84, 88], "between": [0, 2, 10, 12, 13, 16, 17, 18, 19, 20, 21, 23, 24, 27, 29, 36, 37, 39, 42, 43, 45, 46, 49, 51, 53, 54, 56, 57, 58, 61, 63, 67, 70, 71, 72, 73, 75, 78, 79, 81, 84, 86, 87, 88], "node": [0, 5, 6, 8, 9, 10, 12, 14, 17, 18, 19, 20, 21, 22, 23, 25, 27, 32, 33, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 52, 54, 55, 56, 57, 59, 61, 63, 65, 66, 68, 70, 71, 72, 73, 75, 77, 79, 80, 81, 84, 86, 87, 88], "exampl": [0, 7, 13, 17, 22, 33, 35, 38, 39, 40, 42, 52, 58, 61, 65, 66, 68, 73, 75, 76, 77, 78, 82, 84, 86, 88], "wire": [0, 17, 58, 61, 68, 75], "link": [0, 5, 8, 10, 14, 17, 20, 21, 25, 29, 31, 36, 37, 38, 41, 45, 54, 56, 57, 58, 61, 63, 68, 72, 73, 75, 76, 79, 81, 84, 85, 88], "In": [0, 2, 3, 5, 6, 8, 10, 12, 13, 14, 17, 18, 19, 20, 22, 23, 24, 25, 26, 27, 29, 32, 34, 35, 36, 37, 39, 40, 41, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 60, 61, 63, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 85, 86, 87, 88], "addit": [0, 2, 10, 13, 23, 25, 28, 29, 37, 39, 40, 42, 43, 49, 51, 55, 57, 60, 63, 64, 65, 67, 68, 71, 75, 79, 81, 84, 87, 88], "also": [0, 2, 5, 6, 8, 10, 12, 13, 14, 17, 18, 19, 20, 21, 22, 23, 25, 27, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 54, 56, 58, 61, 63, 64, 65, 68, 70, 71, 72, 74, 75, 76, 79, 81, 84, 87, 88], "featur": [0, 5, 12, 18, 19, 20, 30, 36, 37, 38, 40, 42, 43, 44, 51, 55, 56, 58, 60, 63, 67, 72, 75, 79, 81, 84, 86, 87, 88], "tabl": [0, 5, 12, 14, 20, 36, 37, 38, 42, 43, 45, 55, 56, 59, 63, 68, 75, 84, 87, 88], "meta": 0, "data": [0, 5, 6, 17, 19, 21, 22, 24, 25, 27, 29, 33, 34, 37, 38, 40, 41, 43, 45, 49, 52, 54, 60, 61, 63, 65, 68, 70, 73, 74, 76, 79, 80, 81, 84, 85, 86, 88], "like": [0, 8, 13, 14, 17, 18, 23, 24, 25, 37, 39, 42, 43, 52, 56, 58, 59, 61, 65, 68, 73, 75, 76, 78, 79, 80, 84, 87, 88], "imag": [0, 6, 22, 43, 49], "below": [0, 8, 10, 16, 23, 25, 27, 29, 34, 37, 39, 42, 43, 45, 49, 51, 54, 57, 58, 60, 63, 65, 67, 68, 70, 71, 73, 74, 75, 79, 80, 81, 84, 86, 87, 88], "protocol": [0, 5, 6, 14, 17, 20, 21, 22, 23, 27, 29, 32, 33, 35, 36, 37, 38, 40, 41, 43, 44, 49, 51, 53, 54, 55, 56, 57, 58, 61, 65, 67, 71, 72, 73, 75, 77, 79, 80, 81, 84, 88], "filter": [0, 16, 26, 28, 29, 30, 35, 39, 42, 43, 50, 59, 62, 65, 67, 72, 74, 75, 79, 81], "A": [0, 2, 5, 6, 8, 10, 11, 14, 15, 17, 18, 20, 21, 22, 23, 25, 27, 28, 29, 30, 34, 35, 36, 37, 39, 41, 42, 43, 45, 46, 49, 50, 51, 55, 56, 57, 58, 60, 61, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 76, 79, 80, 81, 82, 85, 86, 87, 88], "visual": [0, 8, 22], "trajectori": [0, 13], "mobil": [0, 9, 10, 11, 14, 20, 21, 23, 31, 38, 39, 41, 55, 63, 72, 81, 82, 85, 88], "rout": [0, 5, 8, 14, 17, 18, 27, 31, 34, 35, 39, 45, 60, 73, 78, 80, 81], "multipl": [0, 2, 6, 17, 18, 19, 21, 23, 32, 34, 35, 37, 38, 39, 43, 45, 49, 54, 55, 57, 58, 63, 65, 68, 72, 75, 78, 79, 81, 84, 88], "variou": [0, 17, 32, 35, 37, 39, 42, 49, 57, 58, 59, 61, 71, 72, 75, 76, 83, 84, 87], "point": [0, 2, 8, 13, 14, 17, 19, 27, 30, 36, 37, 39, 42, 43, 45, 58, 63, 68, 72, 75, 78, 79, 81, 82, 84, 88], "time": [0, 6, 10, 12, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 27, 28, 29, 30, 32, 35, 36, 37, 38, 39, 41, 42, 43, 45, 49, 51, 54, 55, 56, 58, 60, 61, 63, 64, 65, 66, 67, 68, 70, 72, 74, 75, 79, 81, 84, 86, 87, 88], "counter": [0, 25, 36, 42, 45, 54, 58, 65, 79], "associ": [0, 13, 17, 18, 22, 23, 24, 25, 29, 30, 34, 37, 39, 43, 45, 49, 51, 54, 55, 61, 68, 71, 72, 73, 75, 79, 81, 82, 87, 88], "chart": 0, "view": [0, 12, 14, 17, 22, 25, 39, 42, 43, 61, 63, 72, 75], "timelin": 0, "transmit": [0, 6, 10, 17, 19, 23, 27, 35, 37, 38, 40, 42, 43, 45, 51, 54, 61, 63, 64, 65, 66, 72, 74, 75, 77, 79, 80, 81, 84, 85, 86, 87, 88], "receiv": [0, 5, 6, 10, 14, 17, 18, 19, 20, 21, 23, 25, 27, 34, 35, 36, 37, 39, 40, 42, 43, 45, 51, 53, 55, 56, 58, 61, 63, 65, 68, 70, 71, 72, 73, 75, 77, 79, 80, 81, 84, 86, 87, 88], "event": [0, 17, 18, 21, 23, 25, 35, 37, 42, 43, 45, 49, 51, 54, 55, 61, 64, 66, 68, 71, 72, 74, 75, 79, 80, 81, 84, 88], "class": [0, 2, 3, 5, 8, 9, 12, 13, 14, 15, 16, 17, 18, 19, 23, 24, 25, 26, 27, 28, 29, 30, 34, 35, 36, 37, 38, 42, 43, 45, 49, 50, 51, 53, 54, 55, 57, 58, 59, 60, 62, 63, 64, 65, 66, 67, 68, 71, 73, 74, 75, 79, 80, 81, 84, 85, 87, 88], "ns3": [0, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 24, 25, 27, 28, 29, 30, 34, 35, 36, 39, 40, 41, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 74, 75, 76, 79, 80, 81, 84, 86, 87, 88], "animationinterfac": 0, "respons": [0, 6, 17, 19, 25, 29, 32, 36, 37, 39, 42, 43, 45, 66, 68, 72, 73, 75, 78, 79, 84, 88], "creation": [0, 8, 18, 25, 42, 43, 58, 71, 73, 75, 87], "infrastructur": [0, 65, 75, 78, 82, 86, 88], "track": [0, 6, 18, 20, 27, 34, 35, 39, 49, 58, 75, 79, 83, 84, 87], "regist": [0, 23, 34, 37, 39, 43, 49, 53, 71, 78, 79, 81], "itself": [0, 21, 36, 37, 39, 45, 51, 58, 72, 73, 74, 75, 80, 81, 84], "hook": [0, 35, 37, 39, 42, 43, 64, 66, 73, 84, 88], "tx": [0, 6, 19, 23, 35, 36, 37, 39, 42, 43, 55, 63, 70, 72, 74, 75, 79, 80, 81, 84], "rx": [0, 6, 17, 19, 23, 35, 36, 37, 39, 55, 61, 63, 70, 72, 75, 79, 84], "befor": [0, 13, 14, 15, 16, 17, 18, 19, 20, 25, 26, 27, 29, 30, 35, 36, 37, 39, 42, 43, 45, 49, 54, 55, 58, 59, 60, 62, 63, 65, 68, 70, 71, 72, 75, 78, 80, 81, 84, 87], "begin": [0, 10, 13, 14, 17, 29, 37, 39, 42, 43, 49, 58, 63, 68, 75, 84, 85, 88], "when": [0, 5, 6, 12, 13, 14, 16, 17, 18, 19, 20, 21, 23, 25, 27, 29, 30, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 54, 56, 58, 61, 63, 64, 65, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "schedul": [0, 15, 16, 17, 18, 19, 25, 29, 30, 38, 40, 41, 49, 50, 51, 61, 64, 65, 68, 72, 74, 75, 78, 79, 81, 82, 87], "transmiss": [0, 2, 5, 6, 10, 12, 17, 19, 21, 27, 31, 33, 35, 37, 39, 41, 42, 43, 45, 50, 51, 54, 58, 61, 63, 65, 66, 71, 72, 74, 75, 79, 80, 81, 82, 85, 87, 88], "recept": [0, 2, 17, 19, 25, 37, 39, 41, 42, 43, 51, 61, 63, 72, 75, 79, 84, 86, 88], "correspond": [0, 10, 12, 13, 14, 17, 23, 29, 34, 35, 36, 37, 39, 40, 42, 43, 45, 53, 54, 55, 58, 61, 63, 65, 72, 73, 75, 78, 79, 80, 81, 84, 86, 87, 88], "ar": [0, 2, 3, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 84, 86, 87, 88], "call": [0, 8, 10, 13, 14, 17, 18, 19, 22, 25, 28, 29, 30, 34, 35, 39, 40, 42, 43, 45, 49, 50, 51, 52, 55, 56, 58, 60, 61, 62, 63, 65, 66, 68, 70, 72, 73, 74, 75, 78, 79, 80, 81, 83, 84, 87, 88], "awar": [0, 9, 17, 18, 23, 25, 38, 41, 45, 50, 58, 63, 65, 68, 72, 73, 75, 79, 81, 85], "endpoint": [0, 34, 39, 67, 75, 80], "which": [0, 2, 3, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 21, 22, 23, 24, 25, 26, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 83, 84, 86, 87, 88], "ha": [0, 2, 6, 8, 10, 12, 13, 14, 16, 17, 19, 20, 21, 22, 25, 27, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 54, 55, 56, 58, 60, 61, 62, 63, 64, 65, 67, 68, 70, 71, 72, 73, 75, 78, 79, 80, 81, 83, 84, 86, 87, 88], "add": [0, 13, 14, 17, 18, 25, 28, 34, 35, 36, 37, 39, 42, 43, 50, 53, 54, 55, 56, 58, 61, 62, 65, 68, 70, 72, 75, 78, 84, 87], "thi": [0, 2, 3, 4, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 27, 28, 29, 30, 31, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 60, 61, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 79, 80, 81, 83, 84, 86, 87, 88], "inform": [0, 2, 6, 8, 10, 12, 13, 14, 18, 19, 20, 23, 24, 34, 36, 37, 38, 41, 42, 43, 45, 46, 50, 58, 63, 65, 66, 68, 71, 72, 73, 75, 79, 81, 84, 85, 87], "format": [0, 8, 12, 17, 25, 27, 37, 39, 42, 43, 45, 49, 56, 57, 68, 70, 72, 76, 84, 88], "along": [0, 8, 10, 18, 20, 36, 40, 42, 43, 49, 54, 55, 63, 72, 75, 78, 79, 84], "timestamp": [0, 6, 15, 16, 20, 29, 58, 60, 75], "discuss": [0, 37, 39, 42, 45, 57, 58, 63, 65, 68, 75, 84, 87], "later": [0, 42, 58, 65, 68, 81, 84, 87, 88], "section": [0, 2, 3, 13, 14, 17, 19, 24, 27, 28, 30, 35, 37, 39, 40, 42, 43, 58, 61, 64, 66, 68, 71, 72, 73, 75, 78, 79, 84, 87], "It": [0, 2, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 25, 27, 35, 36, 37, 39, 40, 42, 43, 49, 51, 54, 55, 56, 57, 58, 62, 63, 65, 66, 68, 70, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "note": [0, 2, 8, 10, 13, 14, 16, 17, 18, 19, 22, 25, 28, 29, 34, 35, 36, 37, 39, 42, 43, 45, 49, 50, 51, 55, 56, 58, 61, 62, 63, 64, 65, 67, 68, 70, 71, 72, 73, 75, 76, 80, 81, 84, 86, 87, 88], "record": [0, 15, 16, 21, 27, 39, 42, 58, 60, 65, 66, 84], "onli": [0, 2, 5, 8, 10, 12, 13, 14, 15, 17, 18, 19, 21, 25, 27, 29, 30, 32, 34, 36, 37, 39, 40, 42, 43, 45, 49, 51, 54, 55, 56, 58, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 75, 76, 78, 79, 80, 81, 84, 86, 87, 88], "everi": [0, 2, 6, 12, 17, 19, 20, 23, 27, 35, 36, 39, 40, 42, 43, 49, 50, 56, 58, 65, 68, 71, 75, 79, 81, 84], "must": [0, 2, 16, 17, 18, 23, 25, 27, 29, 34, 35, 36, 37, 39, 42, 43, 45, 49, 50, 51, 54, 56, 58, 59, 63, 64, 65, 67, 68, 70, 71, 73, 75, 79, 84, 87, 88], "match": [0, 6, 18, 34, 36, 39, 40, 42, 54, 56, 58, 65, 68, 73, 84, 87], "If": [0, 5, 6, 8, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 25, 26, 29, 34, 35, 36, 39, 40, 42, 43, 45, 49, 51, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 65, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "alreadi": [0, 14, 17, 18, 21, 29, 34, 39, 43, 65, 68, 71, 72, 75, 78, 81, 84, 87], "avail": [0, 3, 8, 10, 13, 14, 15, 16, 17, 18, 19, 23, 24, 27, 28, 29, 30, 31, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 55, 56, 57, 60, 61, 63, 64, 66, 68, 70, 71, 72, 73, 74, 75, 79, 80, 81, 84, 85, 86, 87, 88], "packag": [0, 18, 19, 75], "you": [0, 8, 12, 13, 14, 17, 18, 19, 22, 25, 27, 35, 39, 42, 43, 56, 57, 58, 61, 68, 71, 72, 73, 75, 87], "can": [0, 2, 4, 5, 6, 7, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 83, 84, 86, 87, 88], "do": [0, 10, 13, 14, 17, 23, 25, 27, 29, 35, 36, 37, 39, 42, 43, 50, 54, 56, 57, 58, 61, 63, 65, 68, 71, 72, 73, 75, 78, 79, 80, 81, 84, 87, 88], "follow": [0, 2, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 87, 88], "pleas": [0, 4, 6, 8, 12, 13, 14, 18, 23, 39, 42, 43, 54, 58, 63, 64, 65, 72, 75, 80, 84, 87], "ensur": [0, 13, 15, 18, 21, 25, 27, 39, 42, 43, 54, 56, 58, 65, 72, 75, 84, 88], "instal": [0, 6, 8, 10, 13, 14, 16, 17, 18, 21, 22, 23, 25, 27, 28, 29, 30, 32, 34, 35, 36, 39, 40, 42, 43, 49, 50, 51, 54, 55, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 70, 72, 73, 75, 78, 79, 80, 81, 84, 87, 88], "mercuri": [0, 56], "latest": [0, 19, 31, 36, 39, 42, 75], "version": [0, 10, 14, 18, 19, 27, 30, 37, 39, 40, 42, 43, 45, 51, 53, 55, 58, 61, 68, 70, 75, 76, 79, 81, 87, 88], "command": [0, 8, 12, 15, 16, 18, 19, 28, 29, 30, 36, 37, 39, 40, 42, 43, 49, 50, 55, 58, 60, 62, 63, 68, 72, 74, 75, 81, 86, 87], "hg": [0, 8, 9, 56], "clone": [0, 8, 14, 35, 56], "code": [0, 5, 8, 10, 14, 15, 16, 17, 18, 19, 23, 24, 25, 27, 28, 29, 30, 31, 32, 34, 35, 36, 37, 38, 41, 43, 45, 49, 50, 51, 54, 55, 56, 58, 60, 61, 62, 63, 64, 65, 66, 70, 71, 72, 73, 74, 75, 79, 80, 81, 83, 84, 85, 86, 87, 88], "qt5": 0, "5": [0, 2, 6, 9, 10, 13, 15, 16, 18, 20, 23, 27, 29, 30, 37, 39, 41, 42, 43, 49, 55, 58, 59, 60, 63, 68, 72, 75, 79, 81, 84, 86, 88], "4": [0, 2, 6, 9, 10, 13, 15, 16, 22, 23, 27, 29, 31, 33, 36, 37, 39, 42, 43, 50, 54, 59, 60, 61, 63, 65, 71, 72, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "over": [0, 5, 10, 12, 13, 17, 19, 22, 25, 27, 29, 31, 35, 37, 39, 41, 42, 43, 45, 49, 51, 54, 55, 58, 61, 63, 68, 72, 73, 74, 75, 79, 80, 81, 84, 86, 88], "requir": [0, 2, 5, 6, 14, 16, 17, 18, 19, 23, 25, 35, 36, 37, 38, 39, 41, 42, 43, 46, 50, 51, 54, 56, 58, 60, 61, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 81, 84, 87, 88], "obtain": [0, 2, 10, 12, 15, 19, 23, 32, 34, 37, 39, 40, 42, 43, 49, 56, 63, 68, 72, 75, 81, 84, 86, 87], "For": [0, 2, 3, 6, 8, 10, 12, 13, 14, 18, 19, 21, 22, 23, 24, 25, 28, 29, 30, 34, 37, 39, 40, 42, 43, 49, 55, 56, 58, 63, 64, 65, 68, 70, 71, 72, 73, 75, 78, 80, 81, 83, 84, 87, 88], "ubuntu": [0, 18, 22], "linux": [0, 15, 16, 18, 19, 22, 25, 28, 29, 30, 32, 33, 34, 36, 40, 45, 50, 51, 53, 58, 59, 65, 66, 68, 71, 73, 74, 78, 84, 85, 87], "distribut": [0, 10, 12, 13, 24, 31, 37, 38, 40, 42, 45, 53, 56, 63, 72, 73, 79, 84, 85, 88], "apt": [0, 19], "get": [0, 8, 12, 13, 17, 18, 19, 25, 29, 34, 35, 36, 39, 40, 42, 43, 49, 56, 58, 63, 65, 71, 72, 74, 75, 80, 81, 84, 87, 88], "red": [0, 39, 43, 75, 77], "hat": [0, 39], "fedora": [0, 18, 40], "yum": [0, 18], "devel": [0, 18], "mac": [0, 14, 25, 35, 36, 38, 40, 41, 42, 45, 46, 55, 56, 58, 64, 70, 73, 82, 85, 87], "osx": 0, "see": [0, 2, 6, 8, 12, 14, 16, 17, 19, 22, 23, 27, 34, 35, 36, 37, 39, 42, 43, 45, 49, 54, 55, 56, 58, 60, 61, 63, 65, 67, 68, 70, 71, 72, 73, 74, 75, 81, 84, 87, 88], "qt": 0, "io": [0, 10, 40], "To": [0, 6, 8, 12, 14, 16, 18, 19, 21, 23, 29, 34, 35, 39, 40, 42, 43, 45, 49, 51, 54, 56, 58, 60, 63, 65, 66, 67, 68, 72, 73, 75, 81, 84, 87, 88], "cd": [0, 8, 10, 14, 17, 18, 56, 57], "make": [0, 8, 9, 14, 16, 18, 19, 20, 22, 25, 29, 37, 39, 42, 43, 51, 56, 57, 58, 63, 65, 67, 71, 72, 73, 75, 76, 79, 80, 81, 84, 87, 88], "clean": [0, 84], "qmake": 0, "pro": [0, 19, 20], "could": [0, 8, 13, 17, 18, 24, 27, 35, 36, 39, 42, 51, 63, 65, 75, 79, 80, 81, 87], "some": [0, 5, 6, 7, 8, 9, 10, 12, 14, 17, 18, 20, 21, 23, 24, 25, 28, 32, 34, 35, 36, 37, 39, 41, 42, 43, 45, 49, 51, 54, 55, 56, 57, 58, 63, 65, 68, 70, 71, 72, 74, 75, 79, 80, 81, 84, 86, 87, 88], "system": [0, 2, 6, 8, 13, 14, 15, 18, 19, 22, 23, 25, 27, 29, 34, 38, 41, 43, 45, 46, 51, 53, 58, 59, 63, 68, 70, 71, 72, 73, 75, 79, 81, 84, 85, 87, 88], "should": [0, 2, 6, 8, 14, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 27, 29, 32, 35, 36, 37, 39, 40, 42, 43, 45, 51, 54, 56, 58, 61, 63, 65, 67, 68, 72, 73, 74, 75, 79, 81, 83, 84, 86, 87, 88], "creat": [0, 2, 8, 9, 10, 16, 17, 19, 23, 24, 25, 26, 28, 29, 30, 34, 36, 37, 39, 40, 42, 43, 44, 49, 50, 52, 54, 55, 56, 57, 59, 61, 64, 65, 66, 67, 72, 73, 74, 75, 76, 78, 79, 80, 81, 84, 87, 88], "same": [0, 2, 8, 10, 12, 13, 14, 16, 17, 18, 19, 20, 21, 23, 25, 26, 27, 29, 36, 37, 39, 40, 42, 43, 45, 49, 50, 54, 56, 58, 59, 60, 62, 63, 64, 65, 67, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "directori": [0, 5, 7, 8, 14, 15, 16, 18, 19, 23, 24, 25, 27, 28, 29, 30, 31, 32, 34, 36, 37, 40, 43, 49, 54, 55, 56, 60, 64, 66, 70, 74, 75, 76, 79, 80, 81, 84, 86, 88], "l": [0, 10, 16, 21, 30, 37, 41, 42, 43, 56, 63, 75, 79, 85, 88], "rwxr": 0, "xr": 0, "x": [0, 2, 10, 13, 30, 39, 40, 42, 43, 49, 63, 73, 79, 84], "john": [0, 8, 14], "390395": 0, "2012": [0, 16, 41, 45, 46, 51, 84, 85], "05": [0, 49, 75], "22": [0, 10, 39, 42, 49, 63, 75, 79, 84, 86, 87], "08": [0, 6, 42, 49, 73, 86], "32": [0, 18, 33, 39, 42, 49, 60, 63, 70, 75, 84, 85, 86], "process": [0, 6, 14, 17, 18, 19, 21, 22, 24, 25, 27, 29, 36, 37, 39, 40, 42, 43, 45, 46, 49, 51, 58, 63, 65, 68, 71, 73, 75, 76, 78, 79, 81, 84, 88], "offlin": [0, 12, 42, 72, 88], "under": [0, 24, 37, 39, 56, 68, 72, 75, 84], "src": [0, 5, 8, 13, 14, 15, 16, 18, 19, 21, 23, 24, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 49, 50, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 70, 71, 72, 74, 75, 76, 78, 79, 80, 81, 84, 86, 87, 88], "underli": [0, 17, 22, 24, 25, 49, 58, 61, 65, 68, 70, 73, 75, 79, 80, 87, 88], "sourc": [0, 5, 6, 8, 14, 15, 16, 17, 21, 22, 24, 25, 27, 28, 29, 30, 31, 32, 34, 35, 36, 37, 39, 42, 43, 49, 51, 54, 55, 56, 57, 58, 60, 61, 64, 65, 66, 68, 70, 72, 73, 74, 75, 76, 80, 81, 84, 86, 88], "construct": [0, 3, 8, 22, 42, 54, 57, 63, 68, 75, 81], "ascii": [0, 8, 16, 17, 25, 37, 43, 64, 81, 88], "found": [0, 6, 7, 8, 10, 13, 14, 17, 19, 21, 24, 25, 27, 29, 32, 34, 35, 36, 37, 39, 42, 43, 49, 55, 57, 58, 61, 63, 65, 67, 68, 70, 71, 73, 75, 76, 79, 81, 84, 87], "configur": [0, 2, 6, 8, 9, 10, 12, 14, 15, 16, 17, 18, 22, 24, 25, 28, 29, 30, 32, 34, 36, 37, 38, 40, 45, 49, 50, 51, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 72, 73, 74, 75, 78, 79, 80, 82, 83, 84, 86, 88], "d": [0, 10, 11, 15, 18, 23, 28, 29, 30, 36, 37, 40, 41, 42, 43, 45, 63, 74, 79, 81, 85, 87], "debug": [0, 18, 38, 60, 75], "enabl": [0, 5, 6, 8, 12, 14, 15, 16, 17, 18, 19, 21, 22, 23, 24, 25, 28, 29, 30, 33, 34, 35, 36, 39, 40, 42, 43, 50, 51, 52, 54, 55, 56, 57, 59, 60, 61, 62, 63, 67, 68, 72, 73, 74, 78, 79, 81, 84, 86, 87, 88], "run": [0, 5, 6, 8, 12, 14, 15, 16, 19, 21, 22, 23, 24, 25, 27, 28, 29, 30, 35, 36, 37, 38, 39, 42, 43, 45, 49, 50, 51, 54, 56, 60, 62, 63, 65, 68, 72, 73, 74, 75, 76, 79, 81, 84, 86], "dumbbel": [0, 73], "abov": [0, 2, 8, 10, 12, 13, 14, 15, 16, 18, 19, 20, 21, 25, 28, 29, 34, 35, 36, 39, 42, 43, 45, 49, 58, 60, 62, 63, 65, 67, 68, 71, 72, 73, 75, 79, 81, 84, 86, 87], "your": [0, 8, 13, 14, 17, 19, 21, 25, 35, 43, 56, 61, 68, 72, 73, 75, 80, 81, 84], "program": [0, 13, 15, 17, 18, 24, 25, 32, 37, 38, 39, 40, 42, 49, 52, 55, 56, 57, 61, 65, 68, 72, 73, 75, 79, 86, 88], "": [0, 6, 8, 12, 13, 14, 15, 16, 18, 19, 20, 21, 23, 27, 28, 29, 30, 31, 34, 35, 36, 37, 38, 41, 42, 43, 46, 50, 51, 53, 54, 55, 56, 57, 58, 60, 62, 63, 65, 66, 68, 70, 71, 72, 74, 75, 77, 78, 79, 80, 81, 84, 85, 86, 87, 88], "cmakelist": 0, "txt": [0, 10, 36, 42, 43, 63, 70, 75, 88], "includ": [0, 2, 3, 4, 8, 9, 10, 12, 15, 16, 17, 19, 21, 22, 23, 24, 25, 27, 29, 30, 34, 37, 39, 40, 42, 43, 45, 49, 50, 53, 54, 55, 56, 58, 59, 60, 63, 64, 65, 66, 68, 70, 71, 72, 74, 75, 79, 81, 84, 87, 88], "modul": [0, 2, 3, 6, 10, 12, 13, 14, 18, 19, 21, 24, 25, 27, 29, 31, 33, 35, 37, 40, 41, 43, 45, 51, 54, 56, 57, 63, 64, 65, 67, 68, 70, 75, 76, 78, 81, 83, 84, 86, 87, 88], "header": [0, 5, 6, 9, 17, 21, 25, 27, 32, 35, 36, 37, 39, 42, 43, 54, 56, 58, 65, 67, 68, 70, 71, 75, 78, 80, 81, 84, 87, 88], "h": [0, 13, 14, 15, 16, 21, 23, 24, 28, 29, 30, 32, 33, 34, 36, 39, 41, 43, 51, 54, 56, 60, 63, 68, 71, 72, 74, 79, 80, 84, 85, 87, 88], "test": [0, 1, 9, 10, 14, 15, 16, 18, 19, 21, 24, 25, 26, 27, 28, 29, 30, 33, 36, 38, 39, 40, 43, 44, 45, 50, 51, 55, 56, 57, 59, 60, 62, 65, 67, 74, 79, 80, 81, 82, 87], "statement": [0, 25, 34, 49, 58, 60, 64, 75], "where": [0, 2, 6, 8, 10, 18, 19, 20, 23, 24, 25, 27, 29, 34, 35, 36, 37, 39, 40, 42, 43, 49, 58, 62, 63, 68, 70, 71, 72, 73, 75, 79, 83, 84, 87, 88], "ani": [0, 2, 6, 10, 13, 14, 17, 19, 22, 24, 25, 27, 29, 35, 36, 37, 39, 42, 43, 49, 51, 54, 55, 56, 58, 61, 62, 63, 65, 66, 68, 70, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "arbitrari": [0, 45, 58, 62, 73], "filenam": [0, 43], "13": [0, 13, 39, 42, 59, 60, 63, 75, 79, 81, 85, 86, 87], "line": [0, 8, 12, 13, 16, 17, 18, 19, 29, 36, 37, 39, 40, 42, 43, 49, 60, 61, 63, 74, 75, 79, 81, 84, 86, 87], "setxmloutput": 0, "set": [0, 2, 6, 8, 10, 12, 13, 14, 16, 17, 19, 20, 21, 23, 24, 25, 27, 28, 29, 30, 32, 34, 35, 36, 37, 38, 40, 43, 45, 49, 52, 54, 55, 56, 58, 59, 60, 61, 62, 63, 65, 67, 68, 72, 73, 74, 75, 78, 79, 80, 81, 82, 83, 84, 85, 86, 88], "mode": [0, 13, 15, 16, 17, 19, 21, 22, 23, 25, 26, 29, 32, 37, 38, 39, 40, 41, 42, 45, 50, 51, 59, 65, 67, 71, 72, 74, 75, 79, 81, 84, 86, 87, 88], "startanim": 0, "setmobilitypollinterv": 0, "second": [0, 5, 12, 16, 17, 18, 21, 22, 27, 29, 30, 32, 35, 39, 40, 42, 43, 49, 51, 59, 60, 61, 63, 64, 65, 68, 70, 71, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "posit": [0, 2, 9, 10, 12, 17, 36, 39, 42, 43, 49, 58, 63, 72, 75, 79, 84, 87], "all": [0, 2, 4, 5, 6, 8, 10, 12, 13, 14, 17, 18, 19, 20, 21, 22, 23, 25, 27, 29, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 53, 54, 55, 56, 58, 61, 62, 63, 64, 65, 67, 68, 70, 71, 72, 73, 75, 79, 80, 81, 83, 84, 86, 87, 88], "250": [0, 49], "m": [0, 10, 11, 12, 13, 14, 15, 16, 19, 23, 27, 28, 29, 30, 39, 41, 42, 43, 49, 60, 63, 70, 72, 75, 79, 81, 85, 86, 88], "period": [0, 18, 19, 20, 23, 30, 32, 36, 37, 39, 42, 43, 51, 60, 63, 74, 75, 81, 84, 88], "interv": [0, 12, 15, 16, 23, 29, 32, 39, 42, 43, 49, 55, 60, 67, 75, 81, 84, 86, 87, 88], "expect": [0, 2, 6, 12, 14, 16, 17, 22, 25, 29, 36, 37, 39, 40, 42, 43, 51, 53, 58, 60, 70, 72, 73, 74, 75, 79, 84, 86], "move": [0, 13, 14, 16, 19, 29, 37, 39, 42, 43, 49, 63, 73, 75, 79, 81, 84], "veri": [0, 12, 17, 18, 32, 34, 37, 39, 42, 43, 58, 61, 68, 70, 71, 72, 75, 79, 81, 84, 87], "littl": [0, 43, 79], "high": [0, 6, 17, 19, 20, 23, 25, 37, 39, 42, 43, 51, 53, 58, 60, 63, 68, 72, 75, 79, 81, 82, 85, 87, 88], "poll": [0, 19, 23, 37, 71, 84, 88], "avoid": [0, 5, 6, 8, 10, 13, 14, 17, 18, 25, 29, 35, 36, 37, 39, 43, 45, 53, 58, 63, 65, 68, 71, 72, 73, 75, 81, 84], "larg": [0, 2, 6, 8, 12, 13, 18, 22, 28, 35, 37, 39, 42, 45, 54, 58, 63, 68, 72, 74, 75, 84, 85, 87], "setconstantposit": 0, "ptr": [0, 13, 18, 21, 24, 25, 27, 34, 35, 36, 39, 43, 55, 58, 64, 65, 68, 70, 71, 75, 78, 80, 81, 87, 88], "doubl": [0, 13, 27, 39, 40, 42, 43, 54, 75, 76, 81], "y": [0, 2, 10, 13, 19, 39, 40, 41, 42, 43, 49, 73], "done": [0, 3, 5, 6, 10, 12, 17, 19, 25, 27, 29, 34, 35, 36, 37, 39, 42, 43, 55, 58, 63, 65, 67, 68, 72, 73, 74, 75, 80, 81, 84, 87], "mobilitymodel": [0, 2, 10, 12, 72, 87], "quick": [0, 18, 37, 75], "coordin": [0, 2, 3, 12, 13, 37, 39, 41, 42, 43, 45, 51, 63, 68, 72, 81, 84, 85, 88], "stationari": [0, 81, 87], "setstarttim": 0, "150": [0, 49, 63, 79], "setstoptim": 0, "restrict": [0, 17, 39, 43, 73, 84], "window": [0, 10, 12, 15, 16, 39, 42, 43, 75, 79, 84, 88], "serv": [0, 6, 13, 17, 29, 36, 39, 42, 43, 84, 88], "focu": [0, 36, 39, 43, 71, 75, 81, 84], "relev": [0, 37, 39, 40, 43, 58, 81, 83, 88], "portion": [0, 6, 17, 75, 84], "manag": [0, 10, 15, 16, 23, 25, 28, 29, 30, 34, 35, 36, 37, 38, 41, 42, 43, 44, 52, 64, 66, 68, 71, 74, 75, 81, 82, 85, 87], "small": [0, 5, 6, 12, 13, 20, 25, 39, 42, 49, 58, 63, 72, 75, 79, 81, 84, 86], "50000": [0, 27, 75, 80], "constructor": [0, 2, 3, 39, 58, 72], "each": [0, 2, 3, 4, 6, 8, 10, 12, 13, 14, 17, 18, 19, 20, 22, 23, 25, 27, 29, 30, 32, 34, 35, 37, 39, 40, 42, 43, 45, 49, 50, 54, 55, 57, 58, 59, 61, 62, 63, 65, 66, 68, 70, 71, 72, 73, 75, 76, 78, 79, 81, 84, 86, 87, 88], "captur": [0, 23, 43, 63, 79, 84], "150000": 0, "split": [0, 6, 18, 33, 39, 42, 43, 58, 72, 78, 84, 88], "rang": [0, 2, 13, 21, 23, 32, 37, 39, 40, 42, 43, 45, 58, 63, 66, 71, 79, 81, 86, 88], "50001": 0, "100000": 0, "100001": 0, "enablepacketmetadata": 0, "true": [0, 15, 16, 17, 18, 21, 24, 25, 27, 29, 35, 36, 39, 42, 43, 58, 60, 63, 67, 68, 70, 73, 75, 81, 84, 87], "With": [0, 39, 43, 45, 56, 63, 65, 75, 81, 84, 85, 87], "metadata": [0, 39, 49, 52], "better": [0, 8, 18, 21, 39, 42, 63, 68, 74, 75, 79, 81, 84], "statist": [0, 12, 22, 27, 39, 40, 42, 43, 63, 65, 72, 81, 84, 86], "brief": [0, 58, 72, 75, 77, 84], "about": [0, 2, 10, 12, 17, 18, 20, 27, 34, 35, 39, 42, 43, 45, 49, 51, 57, 63, 65, 66, 68, 75, 78, 79, 81, 84, 86, 87, 88], "tcp": [0, 6, 14, 19, 24, 25, 27, 29, 31, 34, 36, 39, 42, 43, 51, 52, 57, 58, 67, 80, 88], "sequenc": [0, 20, 34, 35, 37, 38, 42, 43, 58, 61, 68, 70, 71, 72, 75, 81, 84], "number": [0, 2, 3, 6, 8, 10, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 25, 26, 27, 29, 30, 32, 34, 35, 37, 38, 39, 40, 43, 45, 49, 50, 51, 54, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 72, 74, 75, 78, 79, 80, 81, 83, 84, 88], "destin": [0, 5, 6, 17, 18, 20, 21, 27, 29, 34, 35, 36, 39, 40, 42, 43, 49, 54, 61, 64, 65, 68, 71, 73, 79, 80], "ip": [0, 5, 8, 14, 17, 19, 20, 21, 25, 27, 29, 31, 35, 36, 39, 40, 42, 43, 45, 53, 54, 55, 56, 58, 61, 65, 67, 68, 70, 73, 74, 75, 77, 80, 81, 87], "address": [0, 5, 8, 14, 17, 18, 20, 21, 22, 25, 29, 32, 33, 34, 39, 42, 43, 45, 54, 55, 56, 57, 60, 61, 64, 65, 68, 70, 71, 73, 75, 78, 79, 80, 81, 84, 87], "caution": [0, 25, 71, 75, 84], "result": [0, 2, 5, 10, 12, 13, 14, 15, 17, 18, 21, 22, 23, 29, 36, 37, 38, 39, 41, 42, 45, 56, 63, 67, 68, 72, 73, 75, 79, 80, 81, 84, 85, 86], "larger": [0, 25, 39, 42, 43, 68, 72, 74, 81, 83, 84], "NOT": [0, 36], "wimax": [0, 10, 13, 23, 31, 41, 64], "6": [0, 6, 9, 10, 13, 16, 23, 27, 29, 30, 32, 33, 34, 35, 37, 39, 40, 41, 42, 43, 45, 59, 60, 63, 65, 71, 72, 75, 78, 79, 81, 84, 85, 86, 88], "updatenodedescript": 0, "access": [0, 5, 6, 8, 17, 19, 21, 23, 27, 32, 34, 37, 38, 41, 42, 43, 45, 46, 51, 58, 65, 68, 71, 73, 75, 79, 81, 82, 85, 88], "assign": [0, 8, 17, 18, 25, 33, 37, 39, 42, 43, 45, 49, 54, 55, 56, 61, 65, 70, 71, 73, 78, 81, 84, 87, 88], "text": [0, 27, 43, 65, 72, 75], "7": [0, 9, 10, 13, 23, 29, 37, 39, 42, 43, 59, 60, 63, 71, 72, 75, 76, 79, 81, 84, 86, 87, 88], "updatenodes": 0, "size": [0, 5, 6, 10, 12, 13, 16, 17, 19, 21, 25, 27, 29, 30, 32, 34, 35, 36, 37, 38, 41, 42, 43, 58, 60, 63, 64, 67, 70, 71, 72, 74, 75, 80, 84, 86, 87, 88], "scale": [0, 18, 30, 39, 72, 75, 85], "automat": [0, 13, 21, 35, 36, 38, 39, 51, 58, 62, 65, 67, 68, 72, 73, 75, 76, 80, 81, 84, 87], "fit": [0, 17, 21, 39, 50, 79, 86], "oboundari": 0, "mean": [0, 10, 12, 13, 14, 16, 17, 23, 25, 29, 39, 42, 43, 45, 50, 55, 56, 58, 60, 63, 65, 70, 72, 73, 74, 75, 81, 84, 87], "abnorm": 0, "too": [0, 25, 28, 39, 43, 50, 51, 53, 75, 79, 81, 84], "low": [0, 5, 6, 17, 19, 23, 31, 39, 41, 42, 43, 45, 54, 60, 61, 63, 70, 72, 75, 82, 87, 88], "allow": [0, 2, 5, 6, 10, 13, 17, 18, 19, 22, 23, 24, 25, 26, 30, 32, 34, 36, 39, 42, 43, 45, 49, 50, 55, 56, 58, 59, 60, 61, 63, 64, 65, 66, 67, 68, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "overwrit": [0, 42, 87], "own": [0, 17, 21, 24, 27, 32, 35, 37, 39, 43, 51, 61, 63, 64, 68, 72, 73, 81, 84, 88], "custom": [0, 8, 17, 35, 38, 39, 45, 58, 61, 62, 72, 88], "8": [0, 9, 13, 29, 35, 37, 39, 41, 42, 43, 45, 59, 60, 63, 70, 71, 72, 75, 79, 81, 84, 85, 86], "updatenodecount": 0, "89": [0, 87], "id": [0, 14, 16, 18, 21, 36, 39, 41, 42, 43, 45, 53, 58, 70, 71, 72, 75, 79], "valu": [0, 3, 5, 6, 10, 12, 13, 15, 16, 17, 18, 19, 21, 23, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 55, 57, 58, 59, 60, 62, 63, 65, 66, 68, 70, 71, 72, 73, 74, 75, 79, 81, 84, 86, 87, 88], "addnodecount": 0, "resourc": [0, 29, 38, 41, 42, 43, 51, 58, 74, 81], "cc": [0, 5, 13, 14, 15, 16, 19, 21, 24, 25, 26, 27, 28, 29, 30, 32, 35, 36, 37, 39, 40, 43, 50, 51, 54, 55, 58, 59, 60, 62, 63, 64, 65, 67, 68, 70, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "assum": [0, 2, 8, 10, 17, 18, 23, 27, 36, 37, 39, 42, 43, 45, 54, 61, 63, 68, 70, 72, 75, 78, 79, 81, 84], "wa": [0, 5, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 28, 29, 30, 35, 36, 37, 39, 40, 42, 43, 45, 51, 54, 55, 58, 60, 63, 68, 70, 72, 73, 75, 79, 81, 84, 86, 87], "built": [0, 8, 14, 18, 21, 37, 39, 43, 54, 56, 57, 70, 84, 88], "launch": [0, 19], "review": [0, 39, 56, 75], "open": [0, 6, 10, 12, 18, 19, 23, 25, 33, 39, 42, 43, 44, 51, 63, 68, 81], "click": [0, 31, 68], "button": 0, "top": [0, 10, 14, 17, 25, 32, 39, 40, 42, 43, 67, 70, 74, 75], "left": [0, 2, 6, 10, 12, 15, 17, 22, 25, 29, 39, 42, 43, 63, 72, 75, 87], "corner": [0, 65], "select": [0, 12, 13, 17, 19, 20, 29, 38, 41, 45, 49, 50, 54, 55, 59, 63, 65, 67, 68, 72, 75, 79, 81, 82, 84, 86], "hit": [0, 35, 75], "green": 0, "plai": [0, 42, 59, 81, 84, 88], "video": [0, 41, 87], "illustr": [0, 6, 10, 39, 42, 43, 49, 53, 58, 65, 68, 73, 84, 87], "youtub": 0, "com": [0, 14, 15, 28, 45, 51, 67, 72, 74, 75, 79], "watch": 0, "v": [0, 15, 16, 30, 37, 41, 49, 52, 56, 58, 59, 60, 62, 67, 68, 72, 75, 79, 84, 85, 87], "tz_huunwfd": 0, "detail": [0, 2, 4, 10, 12, 14, 15, 16, 19, 20, 22, 23, 25, 27, 34, 37, 39, 40, 42, 43, 45, 52, 53, 56, 59, 62, 63, 68, 71, 72, 73, 75, 77, 78, 79, 81, 82, 83, 87, 88], "instruct": [0, 6, 13, 18, 19, 39, 42, 43, 49, 51, 75], "f": [0, 10, 21, 41, 42, 60, 63, 75, 79, 85], "q": [0, 39, 43, 75], "mention": [0, 14, 16, 20, 25, 28, 30, 35, 36, 39, 42, 43, 58, 60, 65, 67, 73, 75, 84], "earlier": [0, 39, 43, 75, 87], "refer": [0, 3, 4, 9, 12, 13, 17, 18, 19, 35, 38, 39, 42, 44, 51, 52, 58, 71, 75, 77, 80, 82, 84, 86, 87, 88], "design": [1, 9, 13, 15, 21, 22, 28, 30, 38, 41, 42, 43, 44, 52, 53, 55, 63, 68, 73, 75, 77, 82, 88], "document": [1, 6, 8, 9, 24, 27, 28, 30, 31, 33, 35, 36, 37, 38, 41, 49, 51, 55, 57, 63, 64, 66, 67, 68, 71, 72, 75, 81, 82], "overview": [1, 9, 31, 32, 33, 38, 44, 52, 71, 82], "angl": [1, 43, 63, 72, 79], "antennamodel": [1, 38, 39, 42, 72], "singl": [1, 3, 10, 12, 16, 17, 18, 19, 20, 21, 22, 24, 29, 35, 37, 39, 40, 42, 43, 45, 49, 56, 58, 59, 61, 63, 65, 67, 68, 72, 73, 74, 75, 79, 81, 84], "model": [1, 3, 4, 9, 20, 21, 22, 32, 34, 35, 38, 41, 44, 46, 52, 53, 57, 58, 71, 76, 77, 78, 82, 85, 87], "isotropicantennamodel": [1, 39, 42, 72], "cosineantennamodel": [1, 39, 42, 43], "parabolicantennamodel": 1, "threegppantennamodel": 1, "phase": [1, 19, 39, 42, 43, 68, 72, 75, 84, 88], "arrai": [1, 10, 22, 39, 42, 62, 63, 72, 79, 81], "uniformplanararrai": 1, "user": [1, 2, 6, 8, 9, 10, 14, 16, 17, 19, 21, 22, 23, 24, 25, 26, 27, 28, 32, 34, 35, 36, 38, 40, 41, 42, 44, 45, 49, 51, 54, 55, 56, 57, 58, 59, 62, 63, 64, 67, 68, 71, 72, 73, 74, 75, 79, 80, 81, 82, 83, 88], "degreestoradian": 1, "2": [2, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 41, 43, 45, 46, 47, 48, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 79, 80, 81, 83, 84, 85, 86, 87, 88], "util": [2, 24, 36, 37, 39, 42, 43, 49, 64, 66, 73, 75, 79, 88], "function": [2, 3, 5, 6, 10, 14, 17, 19, 22, 23, 25, 27, 29, 34, 35, 36, 37, 38, 39, 40, 43, 45, 49, 53, 54, 55, 56, 58, 61, 63, 65, 66, 67, 68, 70, 71, 72, 73, 75, 79, 80, 81, 84, 85, 88], "deal": [2, 14, 21, 39, 42, 54, 58, 72, 73, 75, 81, 84], "interfac": [2, 8, 14, 17, 18, 19, 22, 25, 33, 35, 36, 38, 41, 43, 45, 51, 52, 53, 54, 55, 56, 63, 65, 68, 70, 71, 73, 77, 79, 81, 84], "radiat": [2, 23], "pattern": [2, 6, 18, 24, 25, 27, 28, 29, 30, 36, 37, 42, 49, 50, 54, 55, 64, 65, 66, 70, 72, 75, 79], "deriv": [2, 17, 24, 36, 39, 42, 43, 45, 51, 58, 63, 64, 65, 68, 75, 78, 79, 80, 84], "from": [2, 3, 5, 6, 10, 12, 13, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 27, 28, 29, 30, 32, 34, 35, 36, 37, 39, 40, 41, 42, 43, 45, 49, 51, 53, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "differ": [2, 3, 5, 6, 8, 10, 12, 13, 14, 16, 17, 18, 21, 22, 23, 25, 28, 29, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 53, 55, 56, 57, 58, 61, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 76, 79, 80, 81, 84, 86, 87, 88], "type": [2, 6, 8, 10, 13, 14, 17, 19, 21, 22, 23, 24, 25, 27, 28, 29, 30, 32, 34, 37, 38, 40, 42, 43, 49, 50, 52, 53, 56, 58, 62, 64, 65, 66, 68, 72, 75, 79, 81, 84, 86, 87, 88], "phasedarraymodel": [2, 72], "flexibl": [2, 14, 17, 27, 39, 43], "paa": 2, "implement": [2, 5, 8, 10, 12, 13, 14, 15, 16, 17, 19, 20, 22, 23, 25, 26, 28, 29, 30, 32, 33, 34, 35, 36, 37, 38, 40, 41, 42, 43, 45, 49, 50, 51, 52, 53, 55, 56, 59, 60, 61, 62, 63, 66, 67, 70, 71, 73, 74, 75, 77, 79, 80, 81, 84, 85, 86, 87, 88], "uniform": [2, 17, 39, 40, 43, 45, 70, 79, 84], "planar": 2, "upa": 2, "support": [2, 4, 5, 8, 10, 14, 17, 18, 21, 22, 23, 24, 25, 29, 31, 32, 33, 34, 35, 36, 37, 38, 41, 42, 43, 44, 49, 51, 54, 55, 57, 58, 61, 63, 65, 67, 68, 70, 71, 72, 73, 79, 81, 84, 86, 87, 88], "both": [2, 10, 12, 13, 15, 17, 18, 25, 29, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 54, 56, 57, 58, 60, 61, 63, 65, 67, 68, 71, 72, 74, 75, 78, 79, 81, 84, 87, 88], "rectangular": [2, 10, 13, 42, 49, 63], "linear": [2, 23, 29, 39, 40, 43, 67, 72, 75, 79, 84], "lattic": 2, "hold": [2, 15, 16, 17, 18, 24, 26, 29, 30, 32, 34, 35, 36, 39, 58, 59, 60, 62, 64, 65, 66, 71, 73, 74, 75, 79, 87, 88], "3d": [2, 3, 63, 72, 88], "space": [2, 6, 8, 13, 25, 37, 58, 63, 68, 71, 72, 75, 80, 84], "spheric": [2, 49, 72], "radian": [2, 3], "unit": [2, 3, 6, 12, 14, 17, 21, 24, 33, 38, 39, 43, 45, 55, 60, 63, 74, 75, 79, 84, 86, 87, 88], "specif": [2, 5, 6, 8, 9, 10, 12, 14, 17, 18, 19, 21, 23, 24, 25, 27, 30, 32, 34, 35, 36, 37, 38, 41, 42, 43, 46, 51, 54, 55, 56, 63, 64, 65, 66, 68, 72, 73, 75, 79, 80, 81, 84, 85, 87, 88], "azimuth": 2, "inclin": 2, "convent": [2, 17, 36, 37, 39, 61, 73, 75], "zenith": 2, "direct": [2, 3, 5, 13, 21, 25, 36, 37, 39, 42, 43, 49, 51, 63, 70, 72, 73, 75, 78, 79, 80, 84, 88], "z": [2, 10, 39, 41, 43, 49, 63, 73, 85], "axi": [2, 10, 13, 39, 40, 43], "desir": [2, 23, 37, 39, 42, 43, 45, 49, 60, 61, 63, 65, 71, 73, 75, 84, 87], "0": [2, 3, 6, 10, 12, 13, 14, 18, 19, 24, 25, 27, 29, 30, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 54, 55, 58, 59, 60, 62, 63, 64, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 83, 84, 86, 87, 88], "pi": [2, 25, 63], "sign": [2, 68, 75], "measur": [2, 19, 23, 25, 27, 30, 32, 37, 38, 41, 45, 46, 51, 60, 66, 75, 76, 79, 84], "goe": [2, 13, 15, 16, 17, 39, 51, 75, 79], "toward": [2, 27, 36, 39, 43, 49, 63, 75, 80, 81, 88], "present": [2, 10, 13, 18, 21, 23, 25, 34, 36, 37, 39, 42, 43, 45, 49, 50, 55, 56, 58, 65, 68, 73, 74, 75, 80, 81, 84, 86, 88], "most": [2, 8, 10, 14, 17, 18, 19, 25, 28, 29, 32, 35, 36, 39, 40, 42, 43, 49, 58, 68, 71, 73, 75, 76, 78, 81, 83, 84, 86, 87, 88], "common": [2, 10, 14, 17, 18, 25, 34, 36, 37, 38, 43, 53, 58, 66, 68, 72, 75, 80, 84, 87], "encod": [2, 38, 42, 70, 71, 84], "static": [2, 10, 14, 35, 36, 39, 40, 42, 43, 55, 58, 68, 71, 72, 81, 84], "boolean": [2, 36, 39, 42, 43, 60, 67, 70, 75], "variabl": [2, 6, 10, 12, 18, 22, 23, 24, 30, 34, 39, 42, 43, 45, 52, 63, 65, 68, 72, 75, 87], "decid": [2, 15, 39, 43, 45, 54, 63, 73, 75, 81, 84, 87, 88], "whether": [2, 10, 13, 14, 15, 16, 17, 21, 24, 29, 30, 35, 36, 39, 42, 45, 51, 53, 58, 59, 60, 63, 65, 68, 72, 79, 81, 84, 87], "print": [2, 6, 8, 14, 27, 36, 37, 43, 45, 49, 58, 63, 64, 75, 79], "degre": [2, 3, 43, 63], "relat": [2, 23, 25, 34, 36, 38, 41, 42, 43, 45, 49, 63, 75, 79, 81, 82, 87, 88], "offer": [2, 23, 32, 39, 75, 79, 84], "convers": [2, 3, 23, 37, 39, 43, 49, 58, 66, 71, 72], "scalar": [2, 37, 72], "vector": [2, 3, 8, 13, 20, 31, 39, 42, 43, 49, 63, 65, 68, 72, 79, 81, 87], "wrap": [2, 58, 79], "adopt": [2, 17, 39, 42, 49, 63, 71, 72, 84], "balani": 2, "depict": [2, 39, 42, 72, 75, 84], "figur": [2, 6, 22, 29, 34, 37, 39, 40, 42, 43, 45, 58, 63, 65, 72, 75, 79, 84, 86, 88], "translat": [2, 39, 40, 43, 49, 75, 80], "cartesian": [2, 3, 49, 72], "new": [2, 8, 10, 13, 14, 18, 19, 21, 23, 25, 27, 29, 32, 33, 34, 35, 36, 37, 39, 40, 41, 42, 43, 49, 51, 52, 57, 63, 64, 65, 68, 72, 79, 81, 83, 84, 87, 88], "origin": [2, 5, 8, 14, 18, 20, 21, 23, 29, 39, 42, 43, 58, 63, 68, 70, 72, 75, 79, 81, 84, 88], "o": [2, 10, 18, 34, 37, 39, 51, 58, 63, 68, 71, 73, 79], "locat": [2, 10, 12, 13, 15, 16, 17, 27, 28, 29, 30, 32, 35, 36, 39, 40, 42, 43, 56, 59, 60, 62, 63, 72, 74, 75, 79, 88], "transform": 2, "gener": [2, 5, 6, 8, 10, 12, 13, 14, 17, 18, 21, 22, 23, 24, 25, 27, 29, 32, 33, 35, 37, 38, 39, 40, 41, 42, 45, 49, 51, 54, 55, 57, 58, 61, 63, 65, 66, 68, 70, 72, 73, 74, 75, 76, 78, 79, 81, 84, 86, 88], "p": [2, 10, 14, 15, 19, 21, 24, 27, 28, 29, 30, 34, 39, 41, 42, 43, 46, 58, 60, 63, 67, 71, 75, 79, 84, 85, 87, 88], "r": [2, 10, 13, 16, 23, 39, 41, 42, 43, 46, 60, 61, 63, 74, 79, 85, 88], "theta": [2, 3, 63, 75], "phi": [2, 3], "neglect": 2, "radial": 2, "compon": [2, 10, 12, 15, 28, 29, 34, 35, 37, 38, 43, 63, 72, 79, 81, 84, 88], "consid": [2, 5, 8, 10, 12, 13, 17, 18, 21, 24, 25, 27, 29, 36, 37, 39, 40, 42, 43, 50, 58, 63, 65, 68, 72, 75, 79, 81, 84, 87, 88], "express": [2, 10, 37, 39, 42, 43, 56, 63, 87], "mathemat": [2, 39, 72, 75, 88], "g": [2, 6, 10, 11, 15, 23, 24, 25, 27, 32, 34, 35, 36, 37, 39, 40, 41, 42, 43, 45, 49, 55, 56, 58, 59, 60, 61, 63, 64, 65, 66, 67, 68, 70, 71, 72, 75, 78, 79, 81, 84, 85, 86, 87, 88], "longrightarrow": 2, "mathcal": 2, "return": [2, 3, 8, 12, 15, 16, 18, 24, 29, 34, 35, 36, 37, 39, 42, 43, 49, 58, 60, 62, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 84, 87, 88], "gain": [2, 3, 9, 12, 39, 41, 42, 43, 51, 55, 63, 72, 75, 84, 87, 88], "db": [2, 3, 10, 12, 37, 39, 42, 43, 63, 72, 79, 84, 86, 87, 88], "possibl": [2, 5, 6, 10, 12, 14, 17, 18, 21, 23, 25, 27, 35, 36, 37, 39, 40, 43, 45, 49, 54, 56, 58, 63, 65, 67, 68, 70, 72, 73, 74, 75, 77, 79, 84, 86, 87], "within": [2, 3, 8, 10, 12, 13, 14, 21, 23, 32, 35, 37, 39, 41, 42, 43, 45, 49, 51, 58, 63, 65, 72, 75, 79, 81, 84], "unitari": 2, "cosin": [2, 3], "chunjian": 2, "determin": [2, 3, 6, 10, 12, 13, 15, 16, 17, 18, 20, 23, 25, 29, 34, 37, 39, 42, 43, 45, 49, 54, 58, 59, 63, 65, 67, 68, 71, 72, 74, 75, 79, 81, 84, 87, 88], "co": [2, 43], "frac": [2, 39, 42, 63, 75, 84], "phi_": 2, "right": [2, 6, 10, 22, 25, 34, 39, 42, 43, 53, 58, 63, 72, 74, 75, 78], "orient": [2, 3, 10, 39, 41, 42, 43, 63, 84], "e": [2, 3, 6, 10, 12, 19, 20, 23, 24, 25, 27, 29, 30, 32, 34, 35, 36, 37, 38, 39, 41, 43, 45, 49, 51, 54, 55, 56, 58, 59, 61, 63, 64, 65, 66, 67, 68, 70, 71, 72, 75, 78, 79, 80, 81, 84, 85, 86, 87, 88], "its": [2, 3, 13, 14, 15, 16, 17, 20, 21, 23, 25, 27, 29, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 56, 58, 59, 62, 63, 64, 65, 70, 72, 73, 74, 75, 79, 81, 84, 85, 87, 88], "maximum": [2, 3, 6, 15, 16, 17, 21, 25, 26, 27, 29, 30, 33, 35, 37, 38, 43, 51, 58, 59, 60, 63, 64, 66, 67, 68, 70, 72, 74, 75, 79, 81, 84, 87, 88], "exponenti": [2, 17, 39, 75, 84], "20": [2, 10, 13, 19, 27, 37, 39, 41, 42, 43, 58, 60, 63, 72, 75, 79, 83, 84, 85, 86, 87], "log_": [2, 39, 63], "10": [2, 3, 6, 9, 10, 13, 14, 15, 16, 17, 19, 24, 25, 27, 30, 34, 35, 36, 37, 39, 40, 41, 42, 43, 46, 49, 54, 55, 58, 59, 60, 63, 64, 68, 70, 72, 73, 75, 79, 80, 81, 84, 85, 86, 87], "3db": [2, 43, 63], "beamwidth": [2, 3, 42, 43], "independ": [2, 10, 17, 23, 30, 34, 37, 39, 43, 49, 53, 63, 64, 72, 75, 84], "major": [2, 6, 8, 39, 43, 75, 79], "one": [2, 5, 6, 10, 12, 14, 16, 17, 18, 19, 21, 22, 23, 25, 26, 27, 28, 29, 32, 34, 35, 36, 37, 39, 42, 43, 45, 49, 50, 51, 53, 54, 55, 56, 57, 58, 61, 62, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 76, 78, 79, 80, 81, 84, 86, 87, 88], "element": [2, 5, 10, 14, 23, 37, 39, 42, 43, 45, 58, 62, 65, 72, 75, 84], "factor": [2, 20, 39, 42, 43, 72, 75, 84], "what": [2, 10, 17, 20, 22, 23, 24, 25, 29, 39, 40, 42, 43, 58, 63, 65, 68, 70, 72, 73, 75, 78, 79, 81, 84, 87, 88], "formula": [2, 39, 42, 63, 72, 88], "fact": [2, 10, 17, 39, 42, 43, 45, 50, 61, 63, 68, 70, 71, 72, 73, 79, 81], "reason": [2, 5, 14, 17, 21, 27, 35, 36, 37, 39, 43, 56, 58, 65, 68, 70, 71, 73, 75, 81, 84, 87], "why": [2, 39, 43, 58, 65, 71, 75, 81, 87], "latter": [2, 25, 39, 42, 43, 49, 63, 65, 75, 81, 84], "exclud": 2, "averag": [2, 10, 38, 43, 45, 60, 63, 67, 75, 79], "would": [2, 13, 14, 17, 21, 25, 34, 36, 37, 39, 42, 43, 55, 56, 58, 65, 70, 72, 73, 75, 78, 80, 84, 86, 88], "specifi": [2, 8, 10, 14, 17, 23, 24, 25, 26, 34, 37, 39, 42, 43, 49, 55, 56, 61, 62, 63, 64, 68, 70, 71, 72, 75, 79, 80, 81, 83, 84, 88], "given": [2, 10, 12, 13, 20, 25, 27, 29, 35, 36, 37, 39, 40, 42, 43, 50, 51, 54, 58, 61, 63, 65, 71, 72, 75, 78, 79, 80, 84, 87, 88], "exactli": [2, 13, 17, 39, 42, 43, 58, 61, 73, 75, 88], "without": [2, 10, 14, 18, 19, 25, 29, 33, 37, 39, 42, 43, 49, 58, 65, 67, 70, 74, 75, 79, 81, 84], "ad": [2, 6, 14, 17, 18, 19, 21, 22, 24, 25, 26, 27, 29, 31, 32, 33, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 52, 54, 55, 56, 59, 62, 63, 65, 68, 72, 73, 74, 78, 79, 80, 81, 84, 86, 87, 88], "stage": [2, 39, 41, 42, 51, 75], "practic": [2, 23, 29, 39, 41, 43, 45, 54, 56, 63, 74, 75, 81, 84, 85, 87], "alter": [2, 24, 75], "effect": [2, 8, 10, 15, 17, 23, 34, 37, 39, 41, 42, 43, 49, 54, 56, 58, 63, 65, 66, 68, 70, 71, 72, 75, 76, 84, 88], "parabol": [2, 3], "approxim": [2, 3, 10, 39, 40, 42, 43, 63, 66, 72, 75, 79, 85, 88], "main": [2, 6, 9, 10, 15, 16, 18, 19, 21, 23, 25, 27, 29, 34, 36, 37, 39, 40, 42, 43, 45, 49, 51, 55, 57, 58, 60, 63, 67, 68, 71, 74, 75, 77, 79, 81, 84, 86, 87, 88], "lobe": 2, "often": [2, 5, 23, 37, 39, 58, 63, 70, 75, 79, 84], "context": [2, 16, 39, 42, 43, 58, 67, 70, 81, 84], "cellular": [2, 39, 41], "cell": [2, 10, 23, 38, 41, 79], "sector": [2, 39, 43], "instanc": [2, 6, 8, 10, 12, 14, 21, 22, 24, 25, 29, 34, 39, 42, 43, 53, 57, 58, 63, 64, 65, 68, 71, 72, 75, 80, 81, 83, 84, 87, 88], "r4": [2, 39, 41, 43], "092042a": 2, "calcev": 2, "g_": 2, "min": [2, 39, 70, 75, 84], "12": [2, 10, 12, 25, 35, 39, 42, 43, 59, 60, 71, 72, 75, 79, 81, 84, 85], "a_": [2, 39, 63], "max": [2, 28, 30, 32, 39, 42, 60, 70, 75, 84], "attenu": [2, 3, 63, 72], "38901": [2, 63], "paramet": [2, 5, 6, 8, 10, 13, 14, 15, 16, 17, 23, 25, 27, 28, 29, 33, 36, 37, 38, 39, 41, 42, 51, 55, 63, 64, 65, 67, 70, 72, 74, 75, 76, 79, 80, 81, 84, 87, 88], "fix": [2, 17, 18, 21, 37, 39, 40, 41, 42, 49, 58, 62, 63, 72, 74, 75, 79, 81, 87, 88], "technic": [2, 6, 39, 72, 85, 86], "report": [2, 12, 27, 38, 40, 41, 42, 43, 45, 51, 66, 67, 75, 76, 79, 84, 85, 86], "thu": [2, 5, 6, 10, 17, 20, 39, 42, 43, 54, 56, 58, 63, 65, 68, 72, 73, 74, 76, 79, 80, 81, 84, 87], "attribut": [2, 5, 6, 9, 10, 17, 21, 22, 32, 33, 34, 35, 37, 39, 45, 49, 52, 57, 61, 63, 64, 65, 66, 68, 70, 73, 75, 77, 80, 82, 84, 87], "nor": [2, 10, 17, 23, 29, 39, 61, 68, 73, 81, 84, 87], "setter": [2, 35, 36], "been": [2, 6, 8, 10, 12, 14, 18, 19, 21, 22, 23, 27, 29, 32, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 54, 55, 56, 58, 59, 60, 63, 64, 65, 67, 68, 70, 71, 72, 75, 79, 80, 81, 84, 86, 87, 88], "mind": [2, 10, 14, 17, 21, 27, 36, 42, 43, 65, 70, 75], "abstract": [2, 19, 39, 41, 43, 57, 58, 64, 65, 66, 68, 71, 72, 75, 80, 81, 84, 85, 86, 88], "basic": [2, 6, 8, 13, 16, 22, 24, 27, 32, 34, 35, 37, 38, 39, 45, 49, 52, 56, 58, 63, 64, 65, 66, 68, 72, 73, 75, 79, 81, 84, 86, 87, 88], "idea": [2, 15, 18, 37, 39, 43, 70, 75, 79], "remov": [2, 10, 17, 18, 25, 27, 29, 35, 36, 39, 42, 45, 51, 52, 54, 55, 61, 64, 65, 68, 74, 76, 78, 81], "constraint": [2, 10, 39, 42, 43, 68, 79], "instead": [2, 10, 14, 16, 22, 25, 29, 36, 37, 39, 42, 43, 53, 56, 60, 63, 64, 65, 67, 68, 71, 72, 75, 78, 79, 80, 81, 87, 88], "concept": [2, 20, 23, 36, 37, 39, 72, 73, 75, 88], "steer": 2, "beamform": [2, 63, 72, 84], "sole": [2, 80], "mailloux": 2, "getnumberofel": 2, "getelementloc": 2, "index": [2, 27, 29, 36, 39, 42, 49, 53, 54, 55, 62, 65, 68, 70, 72, 78, 79, 83, 86, 87], "normal": [2, 10, 12, 14, 18, 21, 25, 27, 29, 36, 39, 42, 43, 49, 56, 58, 68, 71, 72, 74, 75, 79, 81, 84], "respect": [2, 10, 12, 13, 14, 15, 17, 25, 27, 34, 37, 39, 40, 42, 43, 49, 51, 54, 58, 63, 65, 66, 68, 71, 72, 75, 78, 79, 84, 86, 87, 88], "wavelength": [2, 13, 63], "getelementfieldpattern": 2, "horizont": [2, 79], "vertic": [2, 10, 68, 79], "field": [2, 6, 17, 20, 21, 22, 35, 36, 37, 39, 42, 43, 58, 61, 63, 65, 68, 70, 71, 72, 75, 79, 80, 81, 84, 87], "polar": 2, "equal": [2, 3, 10, 12, 13, 16, 17, 19, 39, 42, 43, 54, 59, 63, 67, 68, 71, 72, 74, 75, 79, 84, 87, 88], "typic": [2, 12, 16, 17, 22, 23, 24, 25, 27, 28, 29, 30, 34, 35, 36, 37, 39, 42, 43, 49, 50, 55, 56, 58, 61, 63, 64, 65, 66, 68, 71, 72, 73, 75, 79, 81, 84, 86, 87, 88], "kei": [2, 5, 15, 16, 21, 23, 24, 28, 29, 30, 34, 41, 42, 43, 58, 60, 68, 72, 74, 75, 81, 85, 88], "assumpt": [2, 10, 17, 39, 41, 42, 43, 72], "sum": [2, 10, 23, 27, 39, 42, 43, 49, 63, 79], "geometri": 2, "valid": [2, 10, 12, 25, 33, 39, 40, 42, 46, 52, 54, 63, 68, 72, 77, 82, 84, 85, 87, 88], "great": 2, "framework": [2, 12, 15, 25, 27, 28, 31, 38, 39, 42, 51, 57, 58, 63, 68, 72, 75, 84, 87], "regular": [2, 13, 18, 25, 39, 40, 43, 60, 75, 81, 88], "loos": [2, 36], "3gpp": [2, 31, 39, 41, 42, 43, 63], "tr": [2, 6, 16, 41, 49, 63, 88], "38": [2, 45, 49, 56, 63, 86, 87], "901": [2, 63], "panel": [2, 23], "n_": [2, 39], "m_": [2, 39], "1": [2, 5, 6, 8, 9, 10, 13, 14, 15, 16, 17, 18, 21, 22, 23, 24, 25, 27, 28, 29, 30, 32, 35, 36, 37, 38, 40, 41, 43, 49, 50, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 68, 70, 72, 73, 74, 75, 78, 79, 80, 81, 84, 86, 87, 88], "By": [2, 6, 8, 14, 17, 18, 22, 34, 37, 39, 42, 43, 54, 56, 61, 63, 65, 68, 72, 75, 84, 86, 87, 88], "orthogon": [2, 6, 39, 72, 84], "chang": [2, 6, 8, 14, 15, 17, 18, 19, 20, 21, 23, 29, 35, 36, 37, 39, 42, 43, 45, 46, 49, 54, 55, 58, 60, 61, 63, 68, 70, 72, 73, 74, 75, 79, 80, 81, 82, 84, 86, 87], "through": [2, 10, 13, 14, 17, 20, 22, 23, 25, 27, 34, 39, 40, 42, 43, 45, 51, 53, 54, 55, 56, 58, 59, 61, 63, 65, 68, 70, 71, 72, 73, 75, 78, 79, 81, 84, 86, 87, 88], "bearingangl": 2, "adjust": [2, 5, 30, 39, 45, 58, 60, 63, 75, 84, 85], "downtiltangl": 2, "elev": 2, "slant": 2, "numrow": 2, "numcolumn": 2, "antennahorizontalspac": 2, "antennaverticalspac": 2, "polslantangl": 2, "zeta": 2, "c": [2, 8, 14, 15, 21, 23, 28, 34, 38, 41, 42, 43, 46, 49, 53, 55, 58, 60, 63, 65, 67, 70, 71, 74, 75, 79, 81, 84, 85, 86, 87, 88], "theori": [2, 41, 42, 63, 75], "analysi": [2, 8, 14, 24, 41, 68, 75, 78, 84, 85], "wilei": [2, 37, 41, 70, 85], "2nd": [2, 23, 27, 75, 79, 80], "ed": [2, 37], "li": [2, 63, 70], "effici": [2, 18, 22, 23, 29, 39, 41, 42, 43, 54, 56, 58, 68, 71, 85, 87, 88], "three": [2, 6, 8, 10, 12, 13, 17, 20, 21, 25, 35, 39, 42, 43, 45, 49, 55, 58, 59, 61, 62, 63, 65, 66, 68, 72, 73, 74, 75, 76, 79, 81, 84, 87, 88], "wcdma": 2, "master": [2, 15, 28, 39], "scienc": [2, 24, 51, 67, 75, 79], "thesi": 2, "chalmer": 2, "univers": [2, 5, 8, 20, 21, 24, 39, 41, 55, 81], "technologi": [2, 4, 10, 19, 23, 24, 37, 39, 41, 46, 63, 72, 73, 79, 81, 84], "g\u00f6teborg": 2, "sweden": 2, "2003": [2, 23, 37, 41, 85], "georg": [2, 75], "matt": 2, "dillon": 2, "tilt": 2, "control": [2, 15, 16, 17, 18, 19, 21, 23, 25, 26, 28, 29, 30, 31, 33, 35, 36, 37, 38, 41, 45, 46, 49, 50, 51, 56, 58, 59, 60, 61, 62, 65, 66, 67, 68, 73, 74, 79, 80, 81, 82, 85, 86, 87, 88], "cdma": [2, 41], "proc": [2, 11, 14, 18, 19, 23, 41, 63, 79, 85], "annual": [2, 51, 85], "intern": [2, 8, 9, 13, 14, 15, 16, 17, 21, 23, 26, 27, 28, 29, 34, 37, 39, 41, 43, 50, 56, 58, 59, 60, 61, 63, 64, 65, 67, 68, 74, 75, 79, 81, 84, 85], "wireless": [2, 4, 5, 10, 13, 14, 21, 23, 25, 27, 31, 39, 41, 44, 46, 49, 55, 58, 63, 68, 70, 72, 73, 75, 79, 81, 84, 85, 86, 87, 88], "internet": [2, 6, 8, 14, 16, 17, 19, 24, 25, 31, 35, 36, 39, 40, 43, 53, 54, 55, 57, 58, 59, 60, 65, 67, 68, 70, 71, 73, 75, 76, 78, 80], "confer": [2, 11, 23, 27, 37, 41, 51, 60, 63, 79, 81, 85, 88], "wicon": 2, "2006": [2, 37, 85], "tsg": 2, "ran": [2, 43], "wg4": 2, "radio": [2, 6, 11, 22, 37, 38, 40, 41, 45, 63, 72, 79, 81], "meet": [2, 39], "51": [2, 32, 42, 49, 86, 87], "092042": [2, 41, 43], "fdd": [2, 39, 41], "henb": [2, 10, 41], "rf": [2, 41, 72], "2018": [2, 28, 63, 72, 75], "studi": [2, 6, 36, 37, 39, 40, 43, 63, 72, 81, 86], "channel": [2, 9, 10, 19, 22, 23, 24, 25, 35, 36, 37, 38, 41, 43, 45, 51, 54, 55, 56, 57, 68, 70, 75, 78, 79, 81, 82, 83, 85, 86], "frequenc": [2, 6, 10, 12, 13, 37, 38, 41, 63, 72, 79, 82, 83, 84, 88], "100": [2, 12, 15, 16, 29, 39, 42, 43, 45, 49, 63, 72, 75, 79, 81, 87], "ghz": [2, 9, 10, 37, 40, 41, 63, 72, 81, 84], "v15": [2, 63, 72], "06": [2, 42, 49, 63, 64, 72, 75], "robert": [2, 14], "j": [2, 11, 28, 29, 37, 39, 41, 42, 49, 55, 56, 63, 65, 79, 85, 88], "handbook": 2, "artech": 2, "hous": 2, "suit": [3, 9, 14, 15, 16, 21, 22, 24, 26, 28, 29, 30, 38, 43, 50, 56, 59, 60, 62, 63, 65, 72, 73, 74, 81, 87], "antenna": [3, 4, 10, 31, 38, 43, 63, 72, 84, 87], "verifi": [3, 6, 12, 14, 15, 21, 42, 43, 58, 72, 79, 86], "correct": [3, 12, 15, 17, 25, 26, 27, 34, 37, 39, 42, 43, 45, 49, 58, 59, 62, 63, 65, 70, 72, 73, 75, 79, 84], "properli": [3, 10, 12, 13, 17, 37, 39, 42, 43, 45, 58, 63, 72, 87], "accord": [3, 8, 10, 12, 13, 16, 17, 23, 24, 25, 27, 29, 36, 37, 39, 42, 43, 45, 54, 56, 59, 62, 63, 64, 68, 71, 72, 73, 74, 75, 79, 81, 84, 87, 88], "pair": [3, 10, 12, 17, 25, 34, 39, 40, 43, 45, 49, 63, 72, 88], "sever": [3, 5, 6, 10, 12, 13, 17, 23, 25, 39, 42, 43, 45, 51, 58, 61, 63, 64, 72, 75, 79, 81, 84, 87, 88], "case": [3, 6, 10, 12, 15, 16, 17, 18, 19, 21, 22, 23, 24, 25, 28, 29, 34, 35, 36, 37, 39, 42, 43, 45, 49, 50, 51, 54, 58, 59, 60, 63, 65, 66, 68, 70, 71, 72, 73, 74, 75, 78, 80, 81, 84, 86, 87, 88], "compar": [3, 12, 15, 21, 23, 27, 29, 30, 39, 40, 42, 43, 49, 55, 61, 63, 72, 75, 79, 81, 84, 86], "known": [3, 10, 12, 18, 20, 24, 37, 39, 42, 43, 54, 55, 58, 63, 68, 70, 79, 80, 87], "pass": [3, 8, 12, 14, 17, 18, 21, 23, 25, 27, 34, 35, 39, 42, 43, 49, 51, 56, 61, 65, 68, 70, 71, 72, 74, 75, 78, 79, 81, 84, 87], "up": [3, 8, 12, 14, 17, 18, 19, 20, 23, 24, 25, 29, 34, 35, 36, 37, 39, 42, 43, 51, 54, 55, 56, 63, 68, 71, 72, 73, 75, 79, 81, 84, 87, 88], "toler": [3, 12, 37, 42, 72, 75], "account": [3, 39, 42, 43, 63, 65, 68, 72, 75, 79, 84], "numer": [3, 23, 39, 42, 72], "error": [3, 5, 6, 17, 18, 21, 23, 34, 37, 38, 41, 45, 49, 50, 52, 58, 61, 63, 65, 68, 72, 75, 79, 80, 82, 84, 85, 87, 88], "radianstodegre": 3, "work": [3, 6, 10, 12, 14, 17, 18, 19, 21, 24, 25, 27, 29, 34, 37, 39, 42, 43, 45, 54, 58, 61, 63, 68, 70, 71, 72, 73, 75, 76, 81, 84, 87, 88], "comparison": [3, 15, 21, 23, 27, 29, 46, 49, 75, 79, 84], "isotrop": [3, 42, 63], "check": [3, 12, 15, 16, 18, 19, 23, 26, 29, 32, 36, 37, 39, 40, 42, 43, 51, 54, 56, 58, 59, 60, 61, 62, 63, 65, 70, 72, 74, 75, 79, 80, 81, 84, 86, 87], "alwai": [3, 10, 17, 24, 25, 36, 37, 39, 40, 42, 43, 58, 59, 61, 63, 65, 70, 72, 74, 84], "0db": [3, 43, 63], "regardless": [3, 13, 18, 39, 43, 65, 75, 80, 81], "calcul": [3, 10, 12, 15, 17, 23, 30, 37, 38, 54, 60, 63, 66, 70, 71, 72, 74, 75, 79, 80, 84, 87, 88], "hand": [3, 29, 34, 37, 39, 42, 43, 58, 72, 75, 86], "001": [3, 27, 42, 85, 86], "physic": [4, 17, 24, 25, 37, 38, 39, 41, 43, 46, 51, 79, 81, 84, 85, 86, 87], "layer": [4, 5, 6, 14, 19, 21, 23, 24, 25, 31, 33, 35, 37, 38, 41, 42, 43, 45, 46, 50, 51, 53, 55, 58, 61, 65, 66, 70, 71, 72, 73, 79, 82, 85, 86, 87, 88], "spectrumphi": [4, 37, 39, 72], "rfc": [5, 17, 21, 27, 30, 32, 33, 36, 37, 55, 60, 61, 65, 68, 70, 71, 80], "3561": [5, 68], "written": [5, 14, 21, 27, 31, 37, 39, 40, 51, 55, 58, 71, 75, 81], "elena": 5, "buchatskaia": 5, "pavel": 5, "boyko": [5, 46], "ittp": 5, "ra": [5, 39, 42], "develop": [5, 16, 19, 20, 21, 29, 31, 37, 39, 41, 42, 43, 50, 51, 55, 57, 58, 67, 70, 72, 75, 79, 84, 88], "cmu": [5, 84, 86], "monarch": [5, 21], "group": [5, 13, 20, 21, 24, 29, 38, 39, 42, 45, 56, 68, 76, 79], "optim": [5, 18, 21, 31, 37, 39, 40, 43, 58, 63, 68, 70, 75], "tune": [5, 10, 13, 21, 25, 36, 38, 39, 51, 63, 70], "samir": 5, "da": [5, 75], "mahesh": 5, "marina": 5, "cincinnati": [5, 8], "uu": 5, "erik": 5, "nordstr\u00f6m": 5, "uppsala": 5, "live": [5, 8, 14, 24, 25, 27, 32, 35, 37, 49, 54, 55, 56, 64, 66, 70, 73, 79, 81, 84, 88], "routingprotocol": [5, 55, 68], "servic": [5, 6, 17, 37, 38, 41, 45, 52, 56, 61, 63, 80, 81, 84, 87], "exchang": [5, 27, 37, 39, 42, 43, 46, 51, 68, 71, 75, 78, 82, 86], "inherit": [5, 10, 14, 17, 19, 39, 51, 58, 61, 72, 75, 80, 81, 84, 87], "ipv4routingprotocol": [5, 14, 34, 68], "defin": [5, 10, 13, 14, 15, 16, 17, 18, 21, 23, 25, 26, 27, 28, 29, 30, 35, 36, 37, 39, 42, 43, 45, 49, 50, 53, 55, 56, 58, 59, 60, 62, 63, 64, 65, 66, 68, 70, 71, 72, 74, 75, 79, 80, 81, 84, 87, 88], "virtual": [5, 18, 22, 25, 39, 43, 56, 58, 65, 68, 70, 71, 73, 75, 81, 84], "forward": [5, 17, 19, 21, 25, 27, 34, 35, 36, 39, 41, 42, 43, 44, 55, 56, 68, 70, 73, 78, 79, 80, 81, 84, 88], "first": [5, 6, 8, 10, 12, 14, 16, 17, 18, 20, 21, 22, 24, 26, 27, 28, 29, 30, 34, 35, 36, 37, 39, 42, 43, 45, 49, 54, 55, 57, 58, 59, 60, 62, 63, 64, 65, 68, 71, 72, 73, 74, 75, 79, 81, 84, 86, 87, 88], "routeoutput": [5, 14, 68], "local": [5, 15, 18, 25, 28, 32, 34, 36, 37, 39, 42, 46, 54, 56, 73, 75, 80, 81], "routeinput": [5, 14, 68], "deliv": [5, 17, 21, 39, 42, 53, 75, 80, 81], "oper": [5, 16, 17, 18, 21, 22, 23, 24, 29, 37, 38, 42, 45, 51, 52, 54, 56, 58, 59, 61, 63, 64, 65, 66, 67, 72, 74, 75, 77, 79, 80, 81, 82, 83, 84, 86, 88], "depend": [5, 6, 10, 12, 18, 21, 26, 27, 37, 39, 42, 43, 53, 54, 60, 63, 64, 65, 67, 72, 75, 79, 81, 84, 87, 88], "mani": [5, 6, 8, 17, 18, 24, 29, 34, 36, 37, 39, 42, 43, 49, 50, 53, 55, 56, 58, 61, 63, 64, 65, 66, 71, 73, 75, 80, 81, 84, 87, 88], "drawn": [5, 10, 45], "disabl": [5, 14, 16, 24, 25, 28, 30, 35, 36, 37, 39, 42, 43, 45, 58, 60, 63, 65, 72, 75, 84, 86, 87], "broadcast": [5, 17, 20, 25, 35, 38, 45, 58, 68, 70, 72, 81, 88], "hello": [5, 55, 58], "messag": [5, 18, 20, 21, 25, 32, 36, 38, 42, 43, 45, 49, 55, 56, 58, 63, 68, 75, 80, 81], "so": [5, 8, 13, 14, 17, 18, 19, 21, 23, 24, 25, 27, 34, 35, 36, 37, 39, 42, 43, 45, 49, 51, 54, 56, 58, 60, 63, 65, 67, 70, 71, 72, 73, 74, 75, 79, 80, 81, 83, 84, 87, 88], "discov": [5, 32, 35, 75, 80], "therefor": [5, 8, 10, 12, 17, 18, 21, 39, 40, 42, 43, 50, 51, 53, 58, 61, 63, 65, 68, 72, 73, 81, 84, 87], "buffer": [5, 6, 19, 20, 21, 24, 25, 34, 38, 42, 43, 51, 52, 74, 75, 80, 84, 87], "request": [5, 6, 20, 21, 27, 32, 37, 39, 42, 43, 51, 58, 63, 64, 65, 68, 75, 81, 84, 88], "rreq": [5, 21], "dissemin": [5, 21], "queue": [5, 17, 19, 20, 21, 24, 25, 34, 35, 36, 38, 51, 52, 61, 71, 75, 77, 81, 82, 87, 88], "rqueue": 5, "smart": [5, 15, 28, 39, 58, 71, 87], "pointer": [5, 23, 24, 25, 34, 39, 58, 65, 71, 75, 78, 80, 81, 87], "errorcallback": 5, "unicastforwardcallback": 5, "store": [5, 8, 14, 15, 16, 21, 23, 29, 34, 37, 39, 40, 42, 43, 51, 54, 58, 63, 64, 65, 67, 68, 72, 74, 75, 76, 79, 84, 87, 88], "garbag": [5, 21], "collect": [5, 17, 18, 21, 27, 37, 39, 40, 42, 56, 61, 65, 81, 84], "old": [5, 20, 21, 29, 39, 68, 75, 77], "entri": [5, 20, 21, 35, 36, 39, 68, 72], "state": [5, 13, 14, 15, 16, 17, 21, 23, 24, 31, 33, 34, 35, 36, 37, 38, 42, 45, 58, 61, 63, 66, 68, 79, 81, 84], "machin": [5, 18, 21, 22, 25, 38, 40, 45, 51, 56, 61, 73, 75, 80, 84], "standard": [5, 6, 8, 10, 12, 13, 17, 18, 21, 25, 34, 36, 37, 39, 43, 45, 46, 56, 63, 68, 70, 72, 73, 75, 79, 81, 83, 84, 86, 87, 88], "stl": [5, 21, 39], "map": [5, 21, 22, 29, 34, 37, 38, 39, 42, 50, 58, 62, 63, 65, 71, 72, 79, 85, 87, 88], "aren": [5, 21, 56], "t": [5, 13, 14, 17, 19, 21, 25, 27, 29, 35, 36, 39, 41, 42, 43, 49, 56, 58, 63, 68, 70, 72, 73, 75, 79, 84, 85, 86, 87, 88], "These": [5, 6, 8, 10, 14, 17, 18, 19, 20, 21, 22, 27, 34, 35, 36, 37, 39, 42, 43, 45, 49, 54, 55, 58, 61, 63, 65, 68, 71, 72, 75, 80, 81, 84, 87, 88], "concern": [5, 10, 39, 42, 43, 57, 75], "cooper": [5, 81], "osi": [5, 17], "heurist": [5, 21, 28, 29, 45, 75], "detect": [5, 17, 21, 33, 37, 38, 42, 43, 45, 51, 58, 65, 75, 77, 84, 85, 88], "presenc": [5, 10, 13, 22, 39, 42, 51, 63, 72, 73, 75, 84], "unidirect": [5, 88], "them": [5, 8, 10, 12, 13, 14, 15, 17, 18, 19, 25, 27, 34, 36, 37, 39, 40, 42, 43, 49, 50, 51, 56, 58, 61, 63, 65, 71, 72, 73, 74, 75, 78, 79, 81, 84, 87, 88], "necessari": [5, 14, 18, 23, 25, 35, 37, 39, 42, 43, 54, 55, 63, 65, 68, 70, 75, 84, 88], "neighbor": [5, 18, 20, 21, 25, 34, 35, 36, 39, 42, 45, 54, 70], "caus": [5, 20, 27, 29, 39, 42, 43, 45, 54, 63, 65, 68, 74, 75, 81, 84, 86, 87], "mai": [5, 6, 14, 16, 17, 18, 21, 22, 23, 24, 25, 27, 29, 30, 34, 35, 36, 37, 39, 41, 42, 43, 45, 46, 49, 51, 53, 56, 57, 58, 59, 61, 62, 63, 64, 65, 66, 67, 68, 71, 72, 73, 75, 80, 81, 83, 84, 86, 87, 88], "taken": [5, 6, 10, 39, 43, 60, 65, 73, 75, 87], "strongli": [5, 21, 27, 39, 43, 68], "broken": [5, 21, 42, 68, 79], "mechan": [5, 17, 20, 21, 25, 39, 42, 43, 65, 66, 71, 73, 75, 78, 80, 81, 84, 87], "howev": [5, 10, 13, 17, 18, 19, 21, 23, 24, 25, 35, 36, 37, 39, 42, 43, 49, 51, 54, 55, 57, 58, 61, 63, 65, 68, 70, 71, 72, 73, 75, 79, 81, 84, 86, 87, 88], "good": [5, 6, 14, 18, 37, 39, 43, 54, 63, 70, 72, 73, 76, 78, 81, 88], "perform": [5, 6, 12, 14, 15, 16, 17, 18, 19, 23, 24, 25, 27, 29, 35, 36, 37, 38, 40, 41, 43, 45, 46, 49, 51, 54, 58, 60, 63, 64, 65, 68, 71, 72, 73, 74, 75, 78, 79, 81, 82, 84, 85, 87, 88], "sens": [5, 17, 21, 23, 43, 65, 72, 79, 84], "environ": [5, 10, 13, 18, 21, 22, 23, 37, 38, 39, 42, 56, 70, 72, 73, 75, 78, 79, 81, 88], "least": [5, 6, 15, 16, 20, 29, 35, 36, 39, 42, 43, 59, 62, 63, 65, 68, 70, 75, 84, 88], "802": [5, 6, 17, 22, 25, 31, 37, 44, 46, 53, 73, 79, 81, 82, 85, 86, 87, 88], "11": [5, 18, 19, 22, 25, 37, 39, 41, 42, 44, 46, 49, 59, 60, 63, 71, 73, 75, 79, 81, 84, 85, 86, 87], "experi": [5, 14, 25, 39, 40, 43, 63, 75, 79, 81, 84, 88], "bad": [5, 35, 39, 75], "There": [5, 8, 14, 16, 17, 18, 19, 22, 23, 24, 34, 35, 36, 39, 42, 43, 49, 51, 54, 56, 58, 61, 63, 64, 66, 68, 71, 72, 73, 74, 75, 79, 80, 84, 86, 87, 88], "lower": [5, 6, 34, 37, 39, 42, 43, 51, 53, 58, 59, 63, 66, 67, 68, 70, 71, 75, 80, 81, 84, 86, 88], "bit": [5, 6, 17, 18, 19, 24, 25, 33, 37, 39, 42, 43, 54, 58, 59, 61, 67, 70, 71, 73, 75, 78, 79, 82, 85, 87, 88], "rate": [5, 6, 17, 19, 23, 24, 25, 30, 31, 33, 39, 43, 51, 60, 61, 66, 67, 72, 74, 79, 81, 82, 85, 87, 88], "than": [5, 8, 10, 15, 16, 17, 18, 21, 23, 25, 27, 29, 30, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 53, 56, 57, 58, 59, 60, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 75, 81, 83, 84, 86, 87, 88], "unicast": [5, 27, 33, 45, 55, 81, 84, 88], "travel": [5, 43, 63, 68], "further": [5, 8, 17, 23, 35, 36, 37, 39, 40, 41, 42, 49, 51, 63, 65, 68, 70, 75, 79, 81, 84, 86, 87, 88], "less": [5, 10, 16, 21, 35, 36, 39, 42, 43, 59, 62, 63, 68, 70, 73, 75, 79, 81, 84, 87], "prone": 5, "guarante": [5, 27, 29, 37, 39, 42, 43, 70, 72, 80, 88], "bidirect": [5, 75, 88], "unlik": [5, 14, 25, 39, 55, 58, 65, 73, 75, 84, 88], "feedback": [5, 21, 38, 42, 43, 55, 75], "frame": [5, 17, 19, 21, 23, 25, 37, 39, 42, 43, 45, 53, 58, 61, 68, 70, 78, 81, 82, 86, 87], "failur": [5, 21, 37, 38, 45, 54, 56, 65, 68, 70, 75, 80, 81, 84], "retri": [5, 17, 21, 35, 37, 39, 42, 75, 84], "meant": [5, 21, 39, 42, 70, 72, 75], "activ": [5, 10, 15, 16, 20, 21, 25, 27, 29, 30, 36, 37, 39, 42, 43, 45, 56, 60, 65, 66, 70, 73, 74, 75, 79, 84], "faster": [5, 17, 21, 43, 54, 74, 75], "reli": [5, 8, 27, 36, 56, 63, 72, 84], "txerrhead": [5, 21], "trace": [5, 6, 8, 13, 14, 16, 21, 22, 24, 25, 27, 32, 33, 34, 36, 37, 38, 39, 40, 42, 45, 51, 58, 64, 65, 66, 70, 72, 74, 75, 76, 81, 84, 86, 87], "adhocwifimac": [5, 81, 84, 87], "ipv4": [5, 6, 8, 14, 25, 27, 29, 32, 33, 34, 36, 39, 43, 53, 54, 55, 58, 59, 64, 65, 68, 71, 78, 80, 81, 87], "option": [5, 8, 16, 17, 18, 19, 21, 29, 32, 33, 34, 36, 39, 42, 43, 49, 51, 52, 56, 58, 60, 61, 63, 65, 70, 72, 73, 74, 75, 80, 81, 84, 87], "repair": 5, "rrep": 5, "extens": [5, 12, 19, 21, 32, 34, 36, 39, 42, 45, 55, 56, 58, 63, 75, 79, 84, 87, 88], "techniqu": [5, 22, 34, 39, 41, 58, 65, 68, 75], "contradict": 5, "assert": [5, 17, 63, 75], "udp": [5, 14, 19, 25, 27, 29, 31, 34, 36, 39, 42, 43, 51, 52, 57, 58, 64, 70, 86, 88], "simplic": [5, 39, 43], "hinder": 5, "abil": [5, 19, 25, 45, 64, 68, 78, 84], "certain": [5, 19, 21, 23, 25, 29, 39, 42, 43, 55, 58, 63, 64, 71, 72, 75], "doesn": [5, 14, 27, 56, 63, 70, 73, 75], "raw": [5, 14, 17, 19, 22, 25, 36, 39, 51, 68, 71, 74, 78], "socket": [5, 6, 19, 22, 25, 33, 36, 39, 43, 51, 52, 53, 57, 58, 68, 78, 79, 84, 87], "becaus": [5, 6, 10, 17, 27, 28, 39, 42, 43, 45, 51, 53, 54, 56, 58, 63, 65, 68, 70, 71, 72, 73, 75, 80, 81, 83, 84, 86, 88], "thei": [5, 10, 12, 14, 19, 20, 22, 24, 27, 29, 34, 35, 36, 37, 39, 42, 43, 45, 49, 54, 56, 57, 58, 59, 63, 67, 68, 70, 72, 73, 75, 80, 81, 84, 87, 88], "portabl": [5, 23], "No": [5, 16, 24, 25, 26, 37, 38, 41, 42, 43, 56, 59, 63, 68, 75, 81], "announc": [5, 36, 84], "plan": [5, 21, 23, 37, 39, 43, 81], "part": [6, 15, 21, 23, 24, 25, 29, 30, 37, 39, 40, 42, 43, 45, 46, 49, 56, 63, 65, 70, 71, 72, 73, 75, 79, 80, 81, 84, 85], "librari": [6, 8, 18, 22, 43, 49, 51, 56, 57, 66, 84, 86, 88], "commonli": [6, 12, 24, 25, 39, 79, 84, 87], "traffic": [6, 14, 15, 16, 18, 19, 25, 26, 28, 29, 30, 31, 35, 36, 39, 40, 41, 42, 43, 45, 50, 51, 55, 58, 59, 60, 62, 65, 66, 67, 68, 73, 74, 75, 79, 80, 81, 84, 87, 88], "web": [6, 12, 14, 21, 23, 42, 57, 60, 74, 81, 85], "brows": [6, 87], "hypertext": 6, "transfer": [6, 22, 37, 39, 40, 51, 70, 71, 75, 84], "consist": [6, 9, 15, 16, 20, 28, 29, 30, 32, 36, 37, 39, 42, 43, 45, 57, 58, 60, 61, 63, 65, 68, 72, 74, 75, 81, 84], "more": [6, 8, 10, 12, 13, 16, 18, 19, 22, 23, 25, 27, 29, 30, 34, 35, 36, 37, 39, 40, 42, 43, 45, 53, 54, 56, 57, 58, 59, 60, 63, 65, 66, 68, 71, 72, 73, 75, 76, 78, 79, 81, 83, 84, 86, 87, 88], "threegpphttpclient": 6, "connect": [6, 17, 18, 19, 22, 23, 25, 34, 38, 40, 42, 43, 53, 54, 55, 56, 61, 70, 71, 73, 75, 79, 80, 84, 86, 87], "threegpphttpserv": 6, "browser": [6, 12, 42], "page": [6, 14, 19, 23, 37, 39, 51, 75, 79, 83, 85, 88], "threegpphttpclienthelp": 6, "threegpphttpserverhelp": 6, "speak": [6, 17], "object": [6, 10, 14, 17, 23, 24, 25, 34, 35, 36, 38, 39, 43, 49, 51, 53, 54, 55, 56, 57, 58, 61, 63, 64, 65, 66, 68, 71, 72, 75, 78, 79, 81, 83, 84, 86, 87, 88], "demand": [6, 21, 31, 43, 54, 58, 63, 68, 73], "either": [6, 8, 10, 13, 15, 17, 18, 19, 20, 22, 25, 26, 29, 36, 37, 39, 42, 43, 49, 60, 63, 68, 73, 75, 84, 86, 87], "html": [6, 12, 15, 16, 21, 23, 29, 42, 49, 60, 67, 72, 75, 79], "file": [6, 8, 12, 14, 15, 16, 18, 19, 21, 22, 28, 29, 30, 32, 36, 37, 39, 40, 42, 43, 45, 49, 51, 54, 56, 57, 60, 63, 70, 71, 72, 74, 75, 76, 79, 80, 81, 84, 86, 87, 88], "embed": [6, 56, 70], "referenc": [6, 8, 58, 72, 86], "histogram": [6, 27], "read": [6, 14, 19, 22, 25, 34, 39, 43, 49, 51, 57, 58, 59, 62, 63, 68, 71, 73, 75, 76, 79, 83, 87], "need": [6, 12, 13, 14, 17, 18, 19, 21, 22, 23, 25, 27, 29, 34, 35, 36, 39, 42, 43, 45, 50, 51, 53, 56, 57, 58, 61, 62, 63, 64, 65, 66, 68, 70, 72, 73, 75, 79, 80, 81, 83, 84, 87], "suffici": [6, 39, 42, 43, 73, 74], "long": [6, 13, 18, 24, 25, 29, 37, 39, 41, 42, 43, 63, 68, 71, 72, 75, 78, 79, 84, 86, 87, 88], "durat": [6, 39, 42, 43, 49, 72, 75, 79, 81, 84, 86, 88], "order": [6, 10, 12, 13, 17, 18, 19, 23, 25, 26, 34, 35, 36, 37, 39, 42, 43, 51, 54, 55, 56, 58, 62, 63, 68, 72, 73, 74, 75, 79, 80, 82, 84, 86, 88], "signific": [6, 10, 14, 59, 63, 71, 73, 75, 87], "conjunct": [6, 41, 79, 84], "respond": [6, 28, 37, 55, 75, 79, 84], "threegpphttphead": 6, "content": [6, 14, 18, 24, 37, 39, 42, 43, 58, 79], "send": [6, 14, 17, 18, 19, 20, 21, 22, 25, 32, 34, 35, 36, 37, 39, 40, 42, 45, 51, 52, 55, 56, 58, 59, 61, 62, 65, 68, 72, 73, 75, 78, 79, 80, 81, 84, 86, 87, 88], "back": [6, 17, 25, 29, 34, 37, 39, 42, 58, 67, 68, 71, 73, 75, 81, 84, 86], "sent": [6, 14, 17, 18, 20, 21, 25, 27, 34, 35, 36, 37, 39, 42, 43, 49, 58, 61, 65, 68, 70, 71, 73, 75, 79, 80, 81, 84, 86, 88], "randomli": [6, 13, 36, 39, 40, 42, 43, 68, 72, 79], "threegpphttpvari": 6, "due": [6, 10, 12, 13, 14, 15, 16, 17, 18, 24, 25, 35, 36, 37, 39, 40, 42, 43, 45, 55, 60, 61, 63, 68, 70, 72, 75, 79, 84, 86, 87, 88], "limit": [6, 12, 17, 22, 29, 33, 34, 36, 39, 43, 44, 52, 59, 62, 63, 65, 67, 74, 82, 88], "assist": [6, 39, 43, 49, 72, 76], "maintain": [6, 10, 20, 24, 31, 39, 40, 45, 49, 51, 56, 63, 75, 81, 84, 88], "threegpphttpservertxbuff": 6, "keep": [6, 18, 20, 23, 27, 29, 35, 36, 39, 42, 43, 45, 58, 63, 65, 68, 74, 75, 79, 84, 88], "byte": [6, 15, 16, 17, 24, 26, 27, 29, 30, 32, 36, 37, 39, 42, 43, 51, 56, 58, 59, 60, 61, 65, 66, 67, 70, 71, 72, 74, 75, 79, 80, 81, 84, 86, 87], "accept": [6, 15, 17, 20, 36, 37, 39, 40, 42, 59, 63, 65, 75, 78, 79, 84], "kept": [6, 14, 21, 25, 39, 43, 56, 65, 75], "until": [6, 15, 16, 18, 29, 35, 39, 42, 43, 49, 54, 63, 65, 68, 71, 74, 75, 79, 81, 84], "disconnect": [6, 39, 43, 73, 84], "mtu": [6, 17, 25, 29, 33, 35, 43, 70, 74, 81], "variant": [6, 10, 14, 16, 22, 27, 30, 39, 42, 52, 58, 63, 67, 75, 84, 87, 88], "536": 6, "1460": 6, "intent": [6, 73], "40": [6, 13, 39, 43, 63, 79, 84, 85, 86, 87], "affect": [6, 10, 36, 39, 42, 43, 49, 63, 67, 68, 72, 73, 75, 84, 87], "after": [6, 12, 13, 16, 17, 18, 19, 20, 28, 29, 34, 36, 37, 39, 42, 43, 51, 55, 58, 63, 65, 66, 68, 70, 71, 72, 74, 75, 78, 79, 80, 81, 84, 87, 88], "start": [6, 10, 16, 17, 25, 27, 29, 30, 35, 36, 37, 39, 42, 43, 45, 49, 51, 55, 58, 60, 63, 67, 68, 71, 72, 74, 75, 79, 80, 81, 84, 86, 87, 88], "mainli": [6, 14, 36, 39, 45, 49, 65, 72, 81, 84, 88], "visibl": [6, 23, 79, 88], "summari": [6, 8, 34, 56, 58, 75, 84, 87, 88], "upon": [6, 15, 16, 17, 18, 19, 25, 27, 36, 38, 42, 43, 68, 75, 84, 88], "establish": [6, 38, 42, 43, 45, 61, 75, 80, 88], "immedi": [6, 17, 39, 42, 61, 65, 68, 71, 75, 81, 84, 87], "take": [6, 15, 17, 19, 21, 23, 27, 35, 36, 37, 39, 40, 42, 43, 45, 51, 54, 56, 58, 61, 63, 65, 68, 71, 72, 73, 75, 79, 81, 84, 87], "pars": [6, 43, 49, 56], "short": [6, 10, 12, 13, 37, 39, 42, 49, 54, 63, 81, 84, 86, 87], "next": [6, 8, 14, 15, 16, 18, 21, 34, 36, 39, 42, 43, 45, 49, 54, 55, 58, 65, 67, 68, 71, 74, 75, 77, 78, 79, 81, 84, 87, 88], "previou": [6, 10, 16, 23, 29, 39, 42, 43, 45, 51, 54, 55, 56, 60, 63, 74, 75, 79, 81, 84, 86], "complet": [6, 14, 17, 23, 35, 36, 37, 39, 40, 42, 43, 58, 61, 63, 65, 66, 68, 71, 72, 73, 75, 76, 79, 81, 84, 88], "enter": [6, 16, 39, 42, 68, 74, 75, 84, 85], "delai": [6, 15, 16, 17, 27, 29, 35, 36, 38, 43, 44, 46, 56, 58, 60, 61, 63, 64, 66, 67, 71, 72, 74, 75, 79, 81, 84, 87, 88], "again": [6, 8, 16, 29, 35, 36, 37, 39, 40, 43, 68, 71, 72, 73, 75], "induc": [6, 24, 42, 75], "download": [6, 8, 18, 22, 49, 56, 67, 85], "finish": [6, 37, 84], "repeat": [6, 22, 35, 36, 39, 73, 81, 86], "step": [6, 8, 13, 14, 18, 19, 25, 34, 39, 43, 58, 68, 75, 76, 77, 79, 84, 86, 87, 88], "persist": [6, 75, 84], "constant": [6, 10, 12, 30, 37, 39, 42, 43, 55, 63, 72, 79, 81, 82, 86, 87], "350": [6, 45], "attach": [6, 8, 17, 19, 22, 23, 25, 37, 38, 39, 40, 42, 45, 50, 51, 61, 65, 74, 75, 78, 84, 87, 88], "comput": [6, 8, 10, 14, 15, 16, 17, 23, 24, 25, 37, 39, 40, 42, 43, 49, 51, 53, 54, 56, 58, 61, 63, 68, 72, 73, 75, 79, 81, 84, 85, 88], "rtt": [6, 32, 67, 75], "aspect": [6, 17, 34, 35, 36, 39, 41, 42, 43, 57, 58, 63, 71, 72, 75, 81, 84, 88], "separ": [6, 8, 12, 18, 22, 25, 29, 39, 42, 43, 51, 54, 57, 63, 65, 72, 75, 79, 83, 84, 86, 88], "characterist": [6, 10, 13, 17, 23, 25, 27, 39, 42, 72, 79], "legaci": [6, 9, 42, 68, 71, 72, 75, 82, 86], "25": [6, 16, 27, 37, 39, 41, 42, 43, 60, 63, 67, 75, 86, 87], "892": 6, "feasibl": [6, 39], "divis": [6, 39, 72, 84, 88], "multiplex": [6, 39, 41, 43, 70, 72, 84], "ofdm": [6, 39, 41, 79, 81, 84, 85, 86, 87, 88], "utran": [6, 38, 39, 41], "enhanc": [6, 28, 30, 38, 41, 42, 45, 51, 60, 72, 75], "ieee": [6, 15, 17, 23, 25, 28, 30, 31, 37, 41, 44, 46, 53, 60, 63, 67, 72, 75, 79, 81, 84, 85, 87, 88], "16m": 6, "evalu": [6, 10, 12, 13, 15, 24, 27, 28, 38, 40, 41, 42, 43, 49, 63, 79, 81, 84, 85, 86, 88], "methodologi": [6, 18, 27, 39, 41, 63, 79, 85], "emd": 6, "004r5": 6, "juli": [6, 15, 41, 60], "2008": [6, 41, 56, 63, 79, 85], "ngmn": 6, "allianc": 6, "v1": [6, 41], "januari": [6, 41], "3gpp2": 6, "tsgc5": 6, "ftp": 6, "1xev": 6, "dv": 6, "2001": [6, 8, 23, 33, 79, 85], "gpp": [6, 63], "easili": [6, 13, 14, 18, 24, 34, 39, 42, 43, 72, 76, 81], "helper": [6, 8, 12, 13, 15, 16, 17, 18, 22, 28, 29, 30, 33, 34, 35, 38, 43, 45, 50, 52, 54, 61, 68, 71, 73, 75, 76, 77, 80, 84, 87, 88], "modifi": [6, 24, 29, 39, 40, 43, 55, 58, 68, 72, 75, 79, 81, 82, 87, 88], "prior": [6, 22, 25, 39, 58, 75, 80, 83], "rxdelai": 6, "statetransit": 6, "side": [6, 17, 39, 42, 43, 51, 56, 71, 73, 75, 88], "connectionestablish": 6, "connectionclos": 6, "txmainobjectrequest": 6, "txembeddedobjectrequest": 6, "rxmainobjectpacket": 6, "rxmainobject": 6, "rxembeddedobjectpacket": 6, "rxembeddedobject": 6, "rxrtt": 6, "special": [6, 13, 22, 25, 34, 39, 42, 43, 45, 49, 51, 58, 63, 64, 68, 72, 75, 79, 81, 84, 88], "suffic": 6, "demonstr": [6, 8, 13, 14, 21, 34, 37, 39, 43, 49, 54, 56, 72, 75], "out": [6, 8, 13, 14, 16, 17, 19, 20, 21, 25, 26, 27, 30, 34, 35, 37, 39, 40, 42, 43, 45, 49, 50, 51, 56, 58, 63, 64, 65, 68, 73, 75, 78, 79, 80, 81, 84, 87], "log_info": 6, "py": [6, 12, 15, 16, 28, 29, 30, 42, 50, 56, 57, 60, 62, 74, 75], "simpl": [6, 10, 13, 14, 15, 17, 18, 21, 24, 25, 27, 29, 37, 39, 40, 42, 43, 52, 54, 56, 58, 60, 61, 63, 65, 68, 70, 72, 74, 75, 79, 80, 81, 84, 87, 88], "scenario": [6, 10, 12, 13, 14, 16, 19, 20, 21, 37, 38, 39, 40, 49, 63, 70, 72, 74, 75, 79, 81, 84, 86, 88], "3m": [6, 42, 64], "30m": 6, "300m": [6, 81], "ipv6": [6, 14, 25, 27, 31, 32, 33, 34, 37, 39, 43, 45, 53, 54, 65, 68, 75, 78, 80, 87], "combin": [6, 8, 10, 12, 14, 15, 22, 24, 29, 30, 37, 39, 42, 49, 58, 63, 75, 79, 84], "random": [6, 8, 10, 13, 17, 23, 24, 29, 30, 33, 38, 40, 42, 43, 45, 57, 60, 63, 72, 75, 77, 80, 81, 84, 88], "themselv": [6, 34, 37, 42, 68, 81], "rather": [6, 23, 25, 39, 42, 45, 53, 63, 67, 75, 81], "total": [6, 8, 10, 12, 23, 27, 29, 39, 40, 42, 43, 54, 58, 63, 65, 74, 75, 79, 81, 84], "placehold": [7, 69, 87], "chapter": [7, 13, 15, 16, 17, 28, 29, 30, 34, 35, 36, 37, 50, 53, 60, 61, 69, 70, 74, 75, 79, 80, 81, 84, 87, 88], "csma": [7, 14, 18, 29, 31, 35, 36, 37, 42, 43, 54, 65, 68, 70, 73], "boston": 8, "repres": [8, 10, 13, 22, 23, 37, 39, 42, 43, 49, 54, 58, 63, 72, 74, 75, 84, 88], "realist": [8, 10, 39, 42, 63, 72, 79, 81, 88], "herein": [8, 86, 87], "facilit": [8, 35, 36, 37, 68], "graph": [8, 29, 75, 79, 86], "edg": [8, 39, 41, 42, 43, 68], "britetopolgyhelp": 8, "leaf": 8, "AS": [8, 39, 76], "manual": [8, 12, 13, 18, 19, 25, 31, 33, 37, 38, 39, 41, 42, 49, 54, 57, 63, 68, 70, 72, 73, 84, 87], "router": [8, 16, 31, 32, 33, 39, 51, 68, 75], "hierarch": [8, 49, 65], "purpos": [8, 18, 23, 25, 34, 39, 42, 43, 45, 51, 66, 84, 88], "level": [8, 10, 13, 23, 25, 27, 30, 32, 37, 39, 41, 42, 43, 49, 53, 56, 58, 63, 70, 71, 72, 75, 79, 81, 84, 87, 88], "waxman": 8, "barabasi": 8, "albert": 8, "flat": [8, 39, 63, 68], "Then": [8, 19, 29, 39, 42, 43, 63, 68, 72, 74, 75, 78, 79], "barbasi": 8, "interconnect": [8, 25, 39, 45], "onc": [8, 14, 17, 19, 27, 34, 36, 39, 40, 42, 43, 49, 55, 58, 61, 75, 78, 80, 81, 84, 87, 88], "flatten": 8, "bu": [8, 17], "edu": [8, 14, 21, 23, 24, 49, 75, 79, 81, 85], "public": [8, 14, 31, 34, 39, 49, 58, 64, 65, 68, 71, 75, 79, 80, 84, 86], "usermanu": 8, "pdf": [8, 21, 37, 60, 67, 75, 79, 81, 85], "extern": [8, 9, 13, 14, 21, 25, 36, 40, 43, 49, 56, 65, 73, 88], "conf_fil": 8, "grasp": 8, "applic": [8, 18, 19, 22, 25, 27, 31, 34, 35, 36, 39, 40, 41, 42, 43, 49, 51, 53, 57, 58, 63, 68, 71, 72, 73, 79, 81, 84, 87, 88], "directli": [8, 10, 17, 18, 19, 20, 22, 23, 25, 27, 29, 39, 40, 43, 50, 54, 58, 61, 65, 67, 68, 73, 75, 78, 81], "alberto": [8, 37], "medina": 8, "anukool": 8, "lakhina": 8, "ibrahim": 8, "matta": 8, "byer": 8, "approach": [8, 18, 29, 39, 42, 43, 51, 58, 60, 63, 65, 67, 68, 73, 75, 81, 84, 85], "proceed": [8, 14, 19, 27, 41, 46, 51, 63, 72, 75, 79, 85], "workshop": [8, 14, 19, 21, 23, 45, 46, 72, 75, 85], "telecommun": [8, 24, 46, 79], "mascot": 8, "01": [8, 23, 43, 54, 64, 73, 75], "ohio": 8, "august": [8, 14, 46], "britetopologyhelp": 8, "seed": [8, 63], "uniformrandomvari": [8, 24, 70], "buildbritetopologi": 8, "represent": [8, 34, 39, 57, 58, 63, 72], "assignipv4address": 8, "assignipv6address": 8, "treat": [8, 39, 68, 73, 75, 79, 84], "30": [8, 10, 12, 13, 21, 39, 42, 43, 60, 63, 79, 81, 84], "subnet": [8, 35, 39, 54], "wast": [8, 29], "amount": [8, 23, 29, 37, 39, 42, 43, 51, 72, 74, 75, 79, 84, 86, 87], "asbarbasi": 8, "aswaxman": 8, "rtbarabasi": 8, "rtwaxman": 8, "final": [8, 18, 29, 34, 37, 39, 40, 41, 42, 43, 57, 63, 68, 72, 75, 79, 81, 84, 87], "td_asbarabasi_rtwaxman": 8, "repositori": [8, 14, 18, 43, 88], "libbrit": 8, "successfulli": [8, 14, 35, 36, 39, 43, 70, 75, 81, 84, 87], "proce": [8, 18, 29, 39, 49], "path": [8, 10, 12, 13, 14, 18, 21, 33, 35, 36, 39, 40, 42, 43, 45, 49, 54, 56, 63, 65, 66, 68, 72, 75, 79, 81, 84, 88], "sure": [8, 13, 14, 16, 20, 39, 42, 43, 75, 81, 84], "sai": [8, 14, 18, 27, 39, 84], "besid": [8, 14, 42, 43, 65, 81, 88], "someth": [8, 14, 17, 18, 27, 43, 68, 73, 75, 78], "gone": [8, 16], "wrong": [8, 43], "forgotten": 8, "find": [8, 14, 17, 21, 24, 34, 36, 39, 42, 43, 45, 55, 57, 58, 71, 75, 78, 79, 84], "verbos": [8, 32, 75], "similar": [8, 12, 13, 17, 19, 21, 25, 28, 30, 34, 35, 36, 37, 39, 42, 43, 45, 49, 51, 59, 61, 65, 68, 70, 72, 73, 75, 79, 81, 86, 88], "output": [8, 16, 18, 22, 29, 33, 37, 38, 39, 42, 49, 52, 58, 60, 63, 68, 73, 74, 75, 86], "other": [8, 10, 12, 14, 17, 18, 19, 20, 21, 22, 23, 24, 25, 27, 29, 32, 33, 34, 35, 36, 37, 39, 40, 42, 43, 45, 49, 51, 53, 54, 55, 57, 58, 61, 62, 63, 64, 65, 67, 71, 72, 73, 75, 79, 80, 81, 84, 86, 87, 88], "conffil": 8, "nix": [8, 18, 31], "exist": [8, 13, 17, 18, 20, 24, 29, 34, 35, 37, 39, 42, 43, 45, 51, 57, 58, 68, 72, 73, 74, 75, 79, 80, 81, 84, 86, 87, 88], "rtbarabasi20": 8, "conf": [8, 14, 79], "global": [8, 17, 18, 22, 25, 27, 33, 35, 39, 43, 54, 72, 73, 81, 87], "python": [8, 75], "bind": [8, 14, 18, 25, 34, 71, 75, 80, 87], "vi": 8, "involv": [8, 10, 12, 19, 25, 36, 39, 43, 49, 72, 75, 78, 79, 81, 84], "mpi": [8, 31], "modulo": [8, 29, 62], "divid": [8, 10, 18, 27, 36, 39, 42, 43, 65, 75, 76, 79, 84, 88], "mpirun": [8, 18], "np": [8, 18], "mobilitybuildinginfo": [9, 13, 43], "itur1238propagationlossmodel": 9, "buildingspropagationlossmodel": [9, 39, 43], "wall": [9, 12, 13, 39, 40, 43], "loss": [9, 12, 13, 24, 25, 27, 33, 37, 38, 39, 40, 43, 45, 49, 55, 67, 72, 81, 84, 86, 87, 88], "ewl": 9, "iwl": 9, "height": [9, 12, 13, 43, 63, 72, 79], "shadow": [9, 13, 39, 43, 63, 81], "pathloss": [9, 39, 43, 63, 72, 79], "logic": [9, 12, 13, 17, 18, 29, 34, 39, 43, 68, 73, 74, 75, 84], "hybridbuildingspropagationlossmodel": 9, "ohbuildingspropagationlossmodel": 9, "how": [9, 10, 17, 18, 23, 25, 34, 37, 39, 40, 42, 43, 49, 50, 53, 54, 55, 56, 57, 58, 59, 62, 63, 65, 66, 68, 71, 72, 73, 75, 78, 79, 80, 81, 84, 87, 88], "setup": [9, 35, 36, 39, 42, 43, 49, 56, 63, 65, 68, 71, 75, 79, 80, 81, 84, 87], "place": [9, 12, 17, 18, 19, 35, 37, 39, 40, 42, 43, 49, 54, 61, 65, 68, 79, 84, 87], "condit": [9, 10, 16, 39, 42, 43, 68, 72, 74, 75, 79, 84, 86], "descript": [9, 17, 21, 22, 33, 38, 39, 41, 43, 52, 77, 80, 84], "buildingshelp": [9, 13, 43], "buildingpositionalloc": 9, "okumura": [9, 10, 13, 63], "hata": [9, 10, 13, 63], "cost231": [9, 10, 63], "itu1411": 9, "lo": [9, 10, 13, 63, 72, 81], "nlo": [9, 10, 13, 63, 72, 88], "itup1238": 9, "outdoor": [9, 10, 13, 39, 63], "indoor": [9, 10, 13, 39, 43, 63, 72], "9": [9, 39, 42, 43, 59, 60, 63, 75, 79, 80, 81, 84, 85, 86, 87], "replac": [10, 14, 22, 25, 34, 39, 40, 42, 43, 54, 67, 73], "area": [10, 12, 13, 15, 28, 31, 39, 41, 43, 46, 58, 63, 70, 72, 75, 79, 81], "placement": [10, 18], "insid": [10, 13, 14, 21, 25, 39, 43, 58, 63, 75, 79, 84], "those": [10, 12, 15, 34, 37, 39, 40, 42, 43, 45, 58, 63, 68, 73, 75, 79, 80, 81, 84, 86], "definit": [10, 17, 36, 39, 42, 43, 49, 65, 72, 75, 84, 87], "propag": [10, 11, 13, 17, 18, 31, 37, 38, 43, 45, 49, 61, 68, 72, 75, 81, 84, 86, 87, 88], "just": [10, 17, 18, 29, 35, 36, 39, 42, 43, 58, 61, 63, 65, 68, 71, 72, 73, 75, 76, 81, 87], "introduc": [10, 30, 34, 39, 40, 42, 43, 58, 65, 72, 75, 77, 79, 84], "phenomenon": [10, 72, 87], "simplifi": [10, 18, 36, 37, 39, 40, 42, 43, 70, 81], "buildingschannelconditionmodel": [10, 12, 13, 63], "deploi": [10, 12, 13, 24, 39, 43, 84], "hybrid": [10, 13, 39, 44, 63, 75, 79], "threegppv2vurbanchannelconditionmodel": [10, 13, 63], "threegppv2vhighwaychannelconditionmodel": [10, 13, 63], "vehicular": [10, 13, 39, 41, 43, 81, 84], "lte": [10, 13, 24, 31, 40, 41, 63, 64, 84, 86], "though": [10, 17, 27, 34, 35, 36, 37, 39, 45, 65, 70, 73, 84], "well": [10, 14, 18, 19, 36, 39, 42, 43, 50, 54, 63, 68, 71, 72, 75, 79, 80, 86, 87, 88], "wifi": [10, 13, 14, 25, 27, 29, 45, 50, 54, 65, 68, 70, 72, 73, 81, 82, 83, 85, 86, 87, 88], "mimic": [10, 32, 36, 42, 75], "environment": [10, 43, 72, 79], "urban": [10, 12, 13, 39, 43, 63, 72, 81], "suburban": [10, 12, 13, 63], "moreov": [10, 13, 23, 27, 32, 36, 39, 40, 42, 43, 55, 68, 70, 72, 81], "commun": [10, 12, 13, 14, 17, 18, 22, 23, 29, 37, 39, 41, 42, 43, 49, 51, 56, 63, 72, 79, 80, 81, 85, 88], "sinc": [10, 12, 17, 18, 21, 23, 25, 27, 29, 34, 35, 36, 39, 40, 42, 43, 51, 54, 55, 58, 61, 62, 63, 65, 68, 70, 71, 73, 74, 75, 79, 81, 84, 86, 87, 88], "might": [10, 13, 17, 19, 23, 34, 36, 37, 39, 42, 43, 53, 57, 68, 70, 71, 72, 73, 74, 87], "outsid": [10, 12, 13, 34, 56, 63, 72, 73, 75, 81, 84], "criteria": [10, 38, 42, 43, 81, 88], "penetr": [10, 13, 39, 63], "materi": 10, "threshold": [10, 12, 13, 15, 16, 28, 29, 30, 37, 39, 42, 43, 45, 60, 67, 70, 75, 79, 84, 86, 87], "switch": [10, 12, 13, 17, 18, 23, 31, 39, 42, 43, 45, 51, 60, 67, 75, 81, 84, 88], "still": [10, 23, 39, 42, 43, 58, 63, 65, 68, 72, 75, 80, 81, 84], "consider": [10, 17, 37, 39, 43, 68, 79, 84], "regard": [10, 17, 23, 39, 42, 45, 49, 63, 72, 73, 79, 81, 87], "box": [10, 13, 43, 49], "dimens": [10, 13, 39, 49, 72], "residenti": [10, 12, 13, 43, 81], "offic": [10, 13, 63], "commerci": [10, 13, 84], "wood": [10, 13], "concretewithwindow": [10, 13, 43], "concretewithoutwindow": [10, 13], "stoneblock": [10, 13], "floor": [10, 12, 13, 29, 40, 43, 79, 84, 86], "ground": [10, 63, 81], "room": [10, 12, 13, 40, 43, 51, 65, 84], "parallelepip": 10, "parallel": [10, 15, 18, 79], "grid": [10, 13, 22, 40, 42, 43, 49, 63], "identifi": [10, 21, 25, 29, 32, 37, 39, 40, 42, 43, 58, 63, 65, 73, 81, 84, 88], "increas": [10, 17, 18, 19, 23, 29, 34, 39, 40, 41, 42, 43, 58, 63, 66, 75, 87], "indic": [10, 15, 16, 17, 21, 24, 35, 36, 37, 39, 42, 43, 49, 54, 56, 58, 60, 61, 63, 68, 70, 72, 73, 75, 81, 83, 84], "charg": [10, 39, 78, 84, 87], "propagationlossmodel": [10, 72, 87], "composit": 10, "obstacl": [10, 72, 81], "veget": 10, "etc": [10, 13, 19, 25, 36, 39, 43, 57, 63, 68, 70, 72, 73, 75, 78, 79, 80, 84, 88], "write": [10, 14, 19, 22, 25, 33, 37, 39, 43, 51, 52, 56, 57, 68, 71, 73, 79, 88], "ones": [10, 27, 28, 30, 36, 39, 42, 43, 63, 72, 75, 79, 84, 88], "itu": [10, 13, 39], "1238": 10, "analyt": [10, 23, 39, 42, 75, 84], "l_": [10, 63], "mathrm": [10, 39, 42, 63], "log": [10, 22, 24, 36, 37, 39, 42, 43, 49, 54, 60, 63, 70, 75, 81, 84, 86, 87], "l_f": 10, "28": [10, 39, 42, 43, 63], "lll": [10, 42, 63], "end": [10, 17, 25, 27, 29, 32, 36, 37, 38, 39, 40, 43, 58, 63, 65, 68, 70, 72, 73, 75, 79, 80, 84, 87], "power": [10, 18, 23, 25, 37, 38, 41, 45, 63, 70, 72, 79, 81, 84, 85, 86, 87, 88], "coeffici": [10, 39, 72, 75], "4n": 10, "15": [10, 20, 31, 37, 39, 42, 43, 59, 60, 63, 68, 71, 72, 75, 86, 87], "station": [10, 23, 39, 41, 42, 43, 45, 63, 81, 84, 86, 87, 88], "ge": [10, 63], "mhz": [10, 11, 12, 13, 39, 41, 43, 63, 72, 81, 83, 84, 87], "distanc": [10, 12, 13, 20, 31, 37, 39, 42, 43, 63, 68, 72, 75, 81, 86, 87, 88], "subsect": [10, 39, 43, 63], "vice": [10, 19, 42, 72], "versa": [10, 19, 42, 72], "concret": [10, 12, 22, 43, 84], "metal": [10, 23], "span": [10, 39, 57], "stone": 10, "block": [10, 34, 36, 38, 42, 43, 63, 71, 72, 74, 75, 84, 87, 88], "occur": [10, 14, 18, 19, 23, 25, 39, 42, 43, 51, 58, 63, 65, 68, 75, 83, 84, 86], "siw": 10, "manhattan": 10, "transmitt": [10, 17, 39, 42, 61, 63, 84, 86], "let": [10, 13, 27, 39, 42, 43, 53, 54, 63, 71, 78, 79, 87], "x_1": 10, "y_1": 10, "x_2": 10, "y_2": 10, "denot": [10, 39, 75, 81, 83], "devic": [10, 14, 19, 22, 24, 25, 28, 29, 30, 34, 35, 36, 37, 39, 41, 42, 43, 45, 50, 51, 53, 54, 55, 56, 57, 58, 61, 64, 65, 66, 70, 72, 73, 75, 77, 79, 80, 81, 84, 87, 88], "literatur": [10, 12, 17, 39, 43, 49, 63, 79, 81, 84], "turkmani": [10, 11], "per": [10, 15, 17, 20, 23, 25, 27, 29, 34, 36, 37, 39, 40, 42, 43, 45, 51, 56, 58, 61, 68, 75, 79, 80, 81, 84, 86, 88], "appli": [10, 17, 23, 24, 25, 29, 39, 42, 43, 58, 63, 71, 72, 74, 75, 84, 87], "deviat": [10, 12, 13, 43, 63, 72, 75, 87, 88], "rel": [10, 21, 39, 42, 43, 63, 79, 81, 87], "One": [10, 13, 14, 16, 17, 22, 25, 27, 28, 30, 32, 36, 38, 39, 43, 49, 53, 58, 63, 68, 70, 72, 73, 75], "stai": [10, 13, 42, 63, 75, 84], "whole": [10, 13, 21, 29, 37, 39, 42, 63, 70, 72, 73, 79], "appropri": [10, 12, 14, 17, 25, 27, 29, 34, 35, 36, 39, 43, 45, 51, 54, 58, 68, 72, 74, 75, 81, 84, 87, 88], "varianc": [10, 12, 39, 43], "m_shadowingsigmaoutdoor": 10, "defaul": [10, 13], "rightarrow": 10, "x_": [10, 39, 49], "sim": [10, 43], "mu_": 10, "sigma_": 10, "m_shadowingsigmaindoor": 10, "m_shadowingsigmaextwal": 10, "w": [10, 12, 13, 15, 16, 23, 39, 40, 41, 42, 43, 63, 67, 75, 79], "squar": [10, 40, 42], "root": [10, 18, 25, 29, 39, 43, 51, 65], "quadrat": [10, 40, 67], "deviatio": 10, "produc": [10, 39, 42, 43, 56, 70, 71, 72, 86], "mu": [10, 12, 39, 79, 84, 87], "sigma": [10, 12, 39], "mbox": [10, 39, 42, 63], "nu": 10, "tau": [10, 39, 42, 72], "sqrt": [10, 39, 63], "integr": [10, 12, 15, 22, 25, 30, 31, 36, 38, 41, 43, 56, 58, 60, 68, 73, 79, 80], "okumurahatapropagationlossmodel": 10, "oh": [10, 63], "substitut": [10, 42, 45, 87], "kun2600mhzpropagationlossmodel": 10, "itur1411lospropagationlossmodel": 10, "itur1411nlosoverrooftoppropagationlossmodel": 10, "i1411": 10, "i1238": 10, "pseudo": [10, 37, 70], "txnode": 10, "rxnode": 10, "km": [10, 63, 72], "rooftop": [10, 12, 13, 39, 63], "els": [10, 18, 25, 39, 51, 63, 73, 74, 75], "greater": [10, 15, 39, 40, 43, 66, 68, 74, 75, 83, 84, 88], "macro": [10, 39, 41, 43, 55], "roof": 10, "1411": 10, "particular": [10, 13, 20, 23, 24, 36, 37, 39, 42, 43, 49, 51, 58, 63, 65, 68, 70, 71, 72, 73, 75, 78, 81, 84, 87, 88], "tunabl": [10, 75], "m_itu1411nlosthreshold": 10, "b": [10, 13, 23, 30, 36, 39, 41, 42, 43, 60, 63, 72, 75, 79, 81, 84, 88], "sc": [10, 39], "street": [10, 12, 63], "width": [10, 27, 39, 63, 81, 82, 83, 84, 86], "nativ": [10, 19, 22, 34, 43, 49, 51, 71, 75, 80], "apart": [10, 40, 43, 72], "zero": [10, 27, 36, 39, 42, 43, 49, 55, 58, 63, 68, 70, 71, 72, 74, 75, 83, 84, 87], "give": [10, 18, 23, 37, 39, 42, 43, 63, 75, 79, 81, 84, 88], "discontinu": [10, 13, 43, 63], "proper": [10, 13, 17, 18, 34, 39, 42, 43, 56, 58, 63], "especi": [10, 39, 58, 65, 73, 84], "behavior": [10, 13, 17, 18, 21, 22, 23, 37, 39, 42, 49, 55, 58, 63, 68, 75, 81, 82, 84, 87], "henc": [10, 14, 23, 39, 42, 43, 63, 65, 76, 79, 81, 84], "solv": [10, 60, 63, 65], "problem": [10, 13, 16, 18, 39, 40, 43, 58, 60, 63, 65, 73, 74, 75, 84], "solut": [10, 13, 16, 28, 39, 43, 73, 84], "retain": [10, 14, 65, 75], "structur": [10, 19, 30, 33, 38, 43, 45, 49, 54, 58, 65, 71, 72, 75, 80, 88], "free": [10, 19, 21, 39, 51, 58, 63, 64, 80], "except": [10, 12, 36, 39, 42, 43, 63, 68, 70, 73, 75, 81, 84, 87, 88], "overal": [10, 23, 29, 30, 38, 40, 41, 58, 63, 68, 71, 72, 81, 87], "suitabl": [10, 39, 68, 81, 84, 88], "neither": [10, 29, 39, 49, 70, 73, 81], "simplif": [10, 39], "accur": [10, 23, 39, 56, 58, 63, 66, 72, 79, 84, 85, 88], "issu": [10, 18, 23, 24, 25, 33, 34, 36, 37, 39, 40, 41, 42, 43, 44, 49, 55, 56, 63, 68, 72, 73, 79, 81, 82, 84, 85, 88], "parson": 11, "lewi": 11, "build": [11, 15, 16, 17, 19, 28, 29, 30, 31, 37, 38, 40, 50, 51, 60, 62, 63, 68, 71, 72, 74, 75, 76, 84], "441": 11, "900": [11, 12, 49], "1400": 11, "4th": 11, "int": [11, 39, 41, 43, 68, 71, 75, 81], "land": [11, 39, 63], "1987": 11, "belong": [12, 18, 27, 36, 37, 39, 42, 43, 72, 75, 87, 88], "makeallinstancesconsist": 12, "success": [12, 21, 35, 36, 37, 39, 42, 43, 45, 72, 75, 79, 80, 84], "correctli": [12, 16, 21, 23, 29, 37, 42, 43, 45, 63, 70, 72, 75, 76, 88], "alloc": [12, 13, 17, 19, 25, 38, 41, 42, 43, 49, 54, 58, 72, 75, 79, 80, 81, 84, 88], "randomroompositionalloc": [12, 13], "sameroompositionalloc": [12, 13], "2x3x2": 12, "24": [12, 19, 35, 39, 42, 43, 81, 84, 86], "48": [12, 25, 37, 39, 41, 42, 43, 87], "pre": [12, 35, 36, 42, 43, 58, 72, 73, 83, 88], "octav": [12, 42, 43], "script": [12, 13, 14, 21, 32, 38, 39, 42, 43, 49, 55, 63, 68, 75, 79, 80, 87, 88], "deem": [12, 39, 63, 84], "usag": [12, 13, 22, 28, 29, 30, 32, 33, 34, 38, 39, 42, 50, 52, 58, 60, 63, 71, 77, 84, 86], "cover": [12, 14, 20, 28, 37, 39, 42, 43, 58, 61, 63, 68, 72, 75, 84, 88], "wide": [12, 23, 36, 40, 49, 56, 75, 79], "implicitli": [12, 23, 39, 67], "enb": [12, 38, 43, 63], "ue": [12, 38, 40, 41, 63], "2000": [12, 14, 17, 19, 41, 43, 63, 72, 81, 85], "utra": [12, 38, 39, 40, 41], "band": [12, 37, 38, 39, 43, 59, 62, 72, 81, 82, 83, 84], "869": 12, "36": [12, 39, 41, 42, 63, 75, 83, 87], "101": [12, 39, 41, 42, 54, 84, 87], "citi": [12, 13, 63], "medium": [12, 13, 17, 37, 41, 43, 46, 63, 72, 79, 81, 84, 85], "aim": [12, 25, 26, 39, 42, 49, 51, 56, 60, 62, 67, 72, 75, 76, 78], "eutra": [12, 43], "2140": 12, "kun": [12, 63], "2620": 12, "sight": [12, 13, 63, 88], "canyon": [12, 63], "meter": [12, 13, 42, 43, 63, 72, 79], "far": [12, 17, 22, 27, 34, 36, 42, 43, 58, 63, 75], "200": [12, 13, 36, 39, 42, 43, 49, 63, 75, 81], "non": [12, 13, 14, 23, 25, 32, 33, 34, 35, 37, 39, 42, 43, 45, 55, 58, 61, 62, 63, 64, 67, 68, 70, 71, 72, 73, 79, 81, 84, 86, 87, 88], "made": [12, 13, 14, 17, 19, 23, 35, 39, 42, 43, 51, 56, 58, 65, 73, 79, 81, 84, 88], "500": [12, 43, 49, 63, 66, 75, 79, 86], "intend": [12, 14, 16, 18, 39, 42, 43, 54, 63, 68, 72, 75, 84], "buildingspathlossmodel": 12, "1000": [12, 16, 17, 19, 25, 26, 39, 42, 43, 49, 59, 60, 63, 66, 68, 72, 74, 79, 86], "sampl": [12, 23, 39, 42, 43, 49, 52, 55, 57, 75, 84, 86, 87], "subtract": [12, 17, 29, 39, 74, 75], "hybridbuildingspathlossmodel": 12, "fall": [12, 25, 37, 41, 42, 63, 67, 75, 84], "99": [12, 41, 42, 49, 86, 87], "confid": [12, 42, 43, 88], "buildingschannelconditionmodeltestsuit": 12, "explain": [13, 25, 32, 39, 43, 63, 72, 75], "As": [13, 14, 17, 18, 19, 21, 25, 27, 29, 35, 36, 39, 40, 42, 43, 45, 55, 58, 63, 65, 67, 68, 70, 73, 75, 79, 81, 83, 84, 86, 87, 88], "x_min": [13, 43], "x_max": [13, 43], "y_min": [13, 43], "y_max": [13, 43], "z_min": [13, 43], "z_max": [13, 43], "createobject": [13, 18, 24, 34, 35, 36, 43, 68, 80, 87, 88], "setboundari": [13, 43], "setbuildingtyp": [13, 43], "setextwallstyp": [13, 43], "setnfloor": [13, 43], "setnroomsx": [13, 43], "setnroomsi": [13, 43], "gridbuildingalloc": 13, "ident": [13, 17, 36, 39, 42, 43, 58, 65, 73, 86, 88], "setattribut": [13, 25, 43, 75, 80, 87], "gridwidth": [13, 49], "uintegervalu": [13, 17, 28, 29, 30, 34, 43, 49, 66, 75, 83, 87], "lengthx": 13, "doublevalu": [13, 39, 43, 49, 67, 75, 87], "lengthi": 13, "deltax": [13, 49], "deltai": [13, 49], "setbuildingattribut": 13, "nroomsx": 13, "nroomsi": 13, "nfloor": [13, 40], "minx": [13, 49], "mini": [13, 49], "3x2": 13, "foor": 13, "usual": [13, 17, 27, 36, 39, 43, 49, 68, 74, 75, 78, 79, 80, 81, 84, 87], "mobilityhelp": [13, 43, 87], "setmobilitymodel": [13, 43, 49, 87], "constantpositionmobilitymodel": [13, 43, 87], "uenod": [13, 43], "advis": [13, 18, 19, 36, 39, 43, 49, 63, 65, 70], "being": [13, 16, 18, 25, 29, 34, 35, 36, 37, 39, 42, 43, 45, 49, 58, 60, 64, 65, 67, 68, 70, 71, 72, 73, 75, 76, 79, 80, 81, 83, 84], "dedic": [13, 38, 39, 43, 81], "randomwalk2doutdoormobilitymodel": 13, "randomwalk2dmobilitymodel": [13, 49], "intersect": 13, "boundari": [13, 18, 25, 58, 84, 88], "encount": [13, 75], "bound": [13, 19, 25, 34, 35, 39, 42, 49, 58, 63, 72, 75, 79, 80, 84, 85], "rebound": 13, "speed": [13, 17, 23, 25, 39, 43, 49, 63, 75, 79, 84, 87, 88], "walk": [13, 41, 49, 53, 68], "shell": [13, 18, 40, 43, 53, 75], "plot": [13, 37, 38, 39, 43, 49, 72, 75, 79], "anoth": [13, 14, 17, 18, 21, 25, 29, 36, 39, 42, 43, 49, 51, 56, 58, 63, 65, 68, 72, 73, 75, 81, 84, 85, 86, 88], "basi": [13, 17, 23, 25, 36, 37, 39, 43, 49, 68, 75, 80, 84, 88], "parent": [13, 39, 49, 65, 81, 84], "child": [13, 16, 23, 29, 39, 43, 49, 50, 62, 65, 67, 72, 74, 84], "offset": [13, 39, 42, 43, 49, 58, 72, 84], "anim": [13, 31, 49], "sh": [13, 49, 63], "gif": [13, 49, 63], "movement": [13, 42, 43, 49, 79], "mm0": [13, 43], "enbnod": [13, 43], "getobject": [13, 34, 35, 36, 43, 49, 80, 87], "mm1": [13, 43], "setposit": [13, 43, 49], "altern": [13, 15, 17, 18, 23, 27, 29, 30, 35, 36, 37, 39, 60, 63, 65, 75, 81], "positionalloc": [13, 87], "randombuildingpositionalloc": 13, "choos": [13, 27, 29, 37, 39, 42, 43, 56, 67, 72, 75, 79, 80, 84, 86], "list": [13, 14, 20, 23, 24, 29, 34, 36, 37, 42, 43, 45, 53, 55, 58, 63, 65, 68, 70, 72, 75, 78, 79, 81, 84, 87, 88], "nodecontain": [13, 17, 18, 35, 36, 43, 49, 54, 55, 61, 81, 87, 88], "sequenti": [13, 85], "fixedroompositionalloc": 13, "uniformli": [13, 37, 42], "volum": [13, 40, 51, 75], "chosen": [13, 21, 39, 42, 45, 63, 72, 75, 84, 88], "initi": [13, 17, 18, 22, 23, 24, 25, 26, 29, 34, 37, 38, 43, 49, 51, 53, 58, 62, 65, 68, 74, 75, 78, 81, 84], "eventu": [13, 36, 39, 42, 43, 55, 68, 71, 88], "trigger": [13, 17, 20, 21, 23, 25, 36, 37, 38, 41, 42, 45, 50, 58, 61, 63, 65, 68, 75, 84], "doiniti": 13, "makemobilitymodelconsist": [13, 43], "cach": [13, 19, 34, 35, 36, 54, 65, 68, 70], "whenev": [13, 20, 21, 23, 25, 39, 72, 74, 75, 79, 84], "isinsid": 13, "buildingmobilitylossmodel": 13, "string": [13, 43, 75, 79, 87], "buildingpropagationlossmodel": 13, "2160": 13, "accordingli": [13, 14, 39, 42, 72, 73], "viceversa": [13, 70], "lambda": [13, 63], "139": [13, 87], "shadowsigmaoutdoor": 13, "shadowsigmaindoor": 13, "shadowsigmaextwal": 13, "rooftoplevel": 13, "los2nlosthr": 13, "sigth": 13, "itu1411distancethr": 13, "1211": 13, "mindist": 13, "minimum": [13, 14, 15, 16, 19, 27, 30, 36, 39, 43, 54, 60, 63, 66, 67, 70, 75, 79, 80, 88], "neglict": 13, "among": [13, 17, 29, 39, 42, 43, 58, 71, 72, 84, 87, 88], "openarea": 13, "citys": 13, "hybridbuildingmobilitylossmodel": 13, "impli": [13, 17, 23, 39, 42, 58, 70, 73, 75], "ohbuildingmobilitylossmodel": 13, "overcom": [13, 14, 43, 68, 74], "architectur": [14, 17, 24, 33, 34, 37, 38, 43, 53, 70, 75, 81, 82, 87, 88], "kind": [14, 17, 22, 24, 25, 37, 39, 43, 56, 58, 62, 70, 72, 73, 75, 80, 81, 84], "platform": [14, 25, 79, 84], "serialis": 14, "deserialis": 14, "down": [14, 17, 25, 29, 34, 35, 37, 43, 54, 55, 56, 58, 68, 76, 78, 79, 81, 84], "stack": [14, 17, 19, 22, 25, 33, 36, 37, 39, 40, 42, 43, 51, 53, 54, 55, 71, 73, 75, 78, 79, 87], "transport": [14, 21, 34, 38, 42, 43, 58, 67, 68, 71, 75, 81, 88], "strive": [14, 71], "ll": [14, 58, 63, 72], "goal": [14, 27, 32, 66, 68, 73, 75, 79, 84], "enough": [14, 19, 27, 29, 35, 39, 42, 43, 51, 68, 74, 75, 84], "mere": 14, "ipv4clickrout": 14, "sub": [14, 39, 42, 43, 72, 84, 88], "furthermor": [14, 29, 39, 43, 58, 75, 84], "l3": [14, 35, 36, 58, 77], "form": [14, 19, 27, 31, 39, 43, 45, 49, 54, 63, 72, 88], "ipv4l3clickprotocol": 14, "trim": [14, 78], "ipv4l3protocol": [14, 34, 35, 68, 78], "much": [14, 17, 21, 36, 39, 40, 42, 43, 58, 61, 63, 71, 72, 75, 79, 81, 84], "probe": [14, 27, 29, 75, 81, 84], "forth": 14, "handl": [14, 18, 19, 21, 23, 29, 35, 36, 39, 43, 45, 50, 58, 62, 65, 66, 68, 70, 71, 72, 75, 79, 81, 84, 88], "four": [14, 21, 27, 35, 36, 37, 39, 40, 42, 43, 59, 63, 68, 72, 79, 81, 84, 87, 88], "l4": [14, 15, 27, 29, 30, 36], "l2": [14, 27, 36, 70, 77], "strip": [14, 39, 58, 76], "leav": [14, 16, 17, 27, 37, 39, 42, 43, 73, 80, 84, 87, 88], "userlevel": 14, "archiv": [14, 21, 23, 60, 74, 79, 81, 85], "20171003052722": 14, "ucla": 14, "now": [14, 18, 29, 39, 42, 43, 45, 56, 58, 68, 71, 74, 75, 81, 84, 87], "futur": [14, 17, 21, 24, 27, 32, 35, 36, 37, 39, 41, 49, 54, 61, 68, 70, 71, 72, 73, 75, 81, 84, 87, 88], "eddi": 14, "kohler": 14, "morri": 14, "benji": 14, "chen": 14, "jannotti": 14, "fran": 14, "kaashoek": 14, "acm": [14, 15, 16, 19, 20, 23, 27, 56, 75, 85], "transact": [14, 15, 37, 42, 84, 85], "18": [14, 17, 39, 42, 43, 63, 64, 79, 84, 85, 86], "263": 14, "297": 14, "lalith": 14, "suresh": 14, "ruben": 14, "merz": 14, "3rd": [14, 27, 41, 63, 76], "icst": [14, 27, 41, 72, 79], "wns3": [14, 23, 41, 72, 75, 85], "barcelona": [14, 41], "spain": [14, 41, 55], "march": [14, 16, 41, 85, 88], "2011": [14, 21, 37, 39, 41, 46, 70], "michael": 14, "neufeld": 14, "ashish": 14, "jain": [14, 60, 75], "dirk": 14, "grunwald": 14, "nsclick": 14, "bridg": [14, 19, 22, 25, 31, 56, 79], "deploy": [14, 16, 37, 43, 85], "mswim": 14, "02": [14, 16, 23, 42, 49], "5th": [14, 43], "2002": [14, 15, 23, 49, 72, 75], "atlanta": [14, 23], "georgia": [14, 58], "usa": [14, 23, 24, 28, 37, 41, 75, 81], "doi": [14, 19, 27, 37, 75, 85], "1145": [14, 19, 37, 75, 85], "570758": 14, "570772": 14, "github": [14, 15, 28, 51, 67, 75], "git": [14, 75], "linuxmodul": 14, "flag": [14, 21, 25, 36, 42, 43, 54, 56, 61, 71, 75, 78], "skip": [14, 75, 84], "don": [14, 29, 35, 43, 56, 68], "hint": 14, "allinon": 14, "symbol": [14, 39, 79, 84, 88], "re": [14, 18, 38, 42, 43, 58, 68, 70, 79, 84, 87], "go": [14, 21, 36, 39, 42, 43, 45, 58, 73, 75, 79, 84], "config": [14, 19, 34, 39, 42, 43, 65, 67, 75, 81, 87], "try": [14, 24, 27, 35, 39, 42, 43, 49, 70, 75, 79, 84], "lan": [14, 16, 46, 81, 85], "pcap": [14, 16, 17, 18, 25, 36, 37, 45, 60, 70, 81, 86, 87, 88], "fromdevic": 14, "todevic": 14, "fromsimdevic": 14, "tosimdevic": 14, "kernel": [14, 15, 16, 18, 22, 25, 28, 29, 30, 36, 40, 45, 51, 58, 65, 66, 68, 71, 74, 75, 84], "tap0": [14, 73], "remain": [14, 15, 16, 17, 23, 39, 42, 43, 49, 58, 61, 68, 75, 81, 84, 88], "eth0": [14, 19, 25, 73], "eth1": 14, "even": [14, 17, 21, 23, 29, 37, 39, 42, 53, 58, 63, 68, 70, 75, 81, 84, 87], "wish": [14, 39, 68, 75, 80, 81, 87], "mandatori": [14, 65, 84], "outport": 14, "ultim": [14, 78], "violat": [14, 54, 70], "rule": [14, 17, 35, 39, 42, 71, 72, 75, 84, 87, 88], "lead": [14, 19, 39, 42, 58, 63, 70, 84], "realli": [14, 36, 54, 58, 68, 70, 75, 79], "weird": 14, "soon": [14, 39, 43, 51, 70, 74, 75, 87, 88], "cannot": [14, 16, 17, 29, 39, 42, 43, 56, 58, 59, 63, 65, 67, 71, 73, 74, 75, 81, 84, 87], "pretti": [14, 39, 58, 79], "todump": 14, "myarpqueri": 14, "fromarpqueri": 14, "64": [14, 18, 19, 21, 36, 37, 39, 86, 87], "out_arpqueri": 14, "per_nod": 14, "ethout": 14, "arpqueri": 14, "suffix": 14, "push": [14, 15, 16, 39], "onto": [14, 17, 23, 29, 39, 58, 61, 71, 73, 79, 84, 87], "easiest": [14, 18, 21, 25, 35, 36, 75], "clickinternetstackhelp": 14, "setclickfil": 14, "mynodecontain": 14, "setroutingtableel": 14, "u": [14, 17, 19, 24, 37, 38, 40, 43, 63, 64, 72, 73, 79, 81], "rt": [14, 63, 79, 84], "wlan": [14, 84, 85], "couldn": 14, "achiev": [14, 19, 25, 29, 39, 42, 43, 51, 72, 75, 81, 88], "client": [14, 32, 34, 43, 58, 70, 71, 75, 80, 82], "server": [14, 16, 19, 32, 35, 57, 73, 75], "wherein": 14, "third": [14, 16, 29, 39, 42, 43, 49, 59, 81, 84, 88], "via": [14, 17, 19, 34, 39, 41, 43, 49, 56, 58, 61, 63, 65, 68, 73, 75, 79, 80, 81, 84, 87], "act": [14, 19, 25, 39, 42, 43, 56, 70, 73, 75, 79], "dir": 14, "pl": [14, 40], "slightli": [14, 21, 39, 43, 45, 49, 73, 84], "adapt": [14, 19, 37, 38, 43, 54, 70, 73, 75, 77, 81, 84, 85, 88], "actual": [14, 15, 16, 17, 23, 25, 27, 34, 36, 39, 42, 45, 58, 63, 65, 68, 70, 71, 72, 73, 75, 79, 80, 84, 87, 88], "preced": [14, 20, 37, 63, 68, 84], "codel": [15, 28, 29, 30, 59, 65, 75, 77], "blue": [15, 28, 39, 43], "cake16": 15, "cake": [15, 28, 29], "kath17": 15, "blue02": 15, "algorithm": [15, 16, 17, 21, 28, 29, 30, 33, 36, 38, 41, 60, 66, 67, 68, 74, 79, 81, 82, 85, 87, 88], "cobaltqueuedisc": 15, "cobalttimestamptag": 15, "port": [15, 16, 17, 19, 24, 27, 28, 29, 30, 34, 39, 42, 43, 55, 56, 60, 63, 67, 68, 73, 74, 75, 80, 88], "vignesh": 15, "kanan": 15, "harsh": [15, 19], "lara": 15, "shefali": 15, "gupta": [15, 28], "jendaip": 15, "palmei": [15, 28], "mohit": [15, 19, 30, 60, 75], "tahiliani": [15, 19, 28, 30, 60, 75], "stefano": [15, 51], "avallon": [15, 28, 51, 85], "pasqual": [15, 51], "imputato": [15, 28, 51, 85], "help": [15, 39, 40, 54, 72, 75, 88], "cobalt19": 15, "doenqueu": [15, 16, 29, 50, 60, 65, 74], "routin": [15, 16, 17, 29, 58, 60, 61, 74], "tag": [15, 16, 25, 27, 29, 36, 39, 43, 52, 71, 81, 84], "cobaltqueu": 15, "dodequeu": [15, 16, 29, 50, 60, 65, 74], "sojourn": [15, 16, 65], "full": [15, 16, 17, 18, 19, 21, 25, 27, 29, 37, 39, 42, 43, 58, 60, 61, 64, 65, 68, 71, 74, 75, 88], "arriv": [15, 16, 25, 27, 29, 35, 37, 39, 42, 43, 72, 73, 74, 75, 79, 80, 84, 86, 87], "drop": [15, 16, 17, 21, 24, 25, 27, 28, 29, 30, 34, 35, 36, 37, 39, 42, 43, 45, 56, 60, 61, 62, 64, 65, 67, 68, 70, 74, 75, 78, 81, 84], "overflow": [15, 16, 37, 39, 60, 75], "m_stat": 15, "qlimdrop": 15, "shoulddrop": [15, 16], "ect1": [15, 29, 30], "ce": [15, 16, 29, 30, 35, 36, 39, 42, 75], "mark": [15, 16, 29, 30, 35, 36, 39, 50, 60, 65, 67, 75, 78], "fals": [15, 16, 21, 24, 35, 36, 39, 42, 43, 58, 60, 63, 67, 68, 70, 75, 81, 87, 88], "m_target": [15, 16], "continu": [15, 16, 19, 23, 29, 39, 43, 54, 63, 75, 81, 83, 84], "m_interv": [15, 16], "ok": [15, 16, 78], "otherwis": [15, 16, 29, 39, 42, 43, 58, 62, 63, 65, 67, 71, 74, 75, 78, 79, 81, 84, 87, 88], "turn": [15, 21, 22, 25, 39, 42, 43, 50, 56, 58, 63, 65, 67, 84, 87], "off": [15, 23, 36, 39, 42, 56, 58, 67, 68, 72, 73, 75, 84, 86], "probabl": [15, 28, 29, 30, 35, 36, 39, 42, 45, 60, 63, 67, 72, 79, 84, 87], "pdrop": [15, 28], "decreas": [15, 19, 23, 39, 42, 66, 68, 75, 84], "empti": [15, 16, 29, 39, 42, 43, 53, 58, 62, 65, 66, 74, 75, 79, 80, 84], "underflow": 15, "till": [15, 39], "none": [15, 17, 39, 42], "onlin": [15, 16, 29, 60, 70, 74, 79, 85], "dtaht": 15, "sch_cake": [15, 28], "blob": [15, 28], "draft": [15, 16, 29, 45, 46, 60, 75], "ietf": [15, 16, 21, 29, 60, 67, 75], "aqm": [15, 28, 29, 30, 60, 66, 75], "fq": [15, 28, 29, 65, 75, 77], "07": [15, 60], "feng": [15, 77], "shin": [15, 41], "k": [15, 24, 37, 39, 41, 42, 43, 46, 60, 67, 79], "kandlur": 15, "saha": 15, "ton": 15, "513": 15, "528": [15, 42], "jonathan": [15, 28], "morton": [15, 28], "dave": [15, 16], "taht": [15, 28, 29], "2019": [15, 19, 28, 30, 51, 63, 85], "disciplin": [15, 16, 28, 30, 60, 67, 71, 74, 75, 77, 78, 87], "symposium": [15, 23, 28, 30, 63, 85], "metropolitan": [15, 28, 46, 63, 81], "lanman": [15, 28], "maxsiz": [15, 16, 26, 29, 30, 59, 60, 64, 65, 67, 74], "slide": [15, 16, 75], "target": [15, 16, 18, 19, 29, 30, 38, 39, 43, 67, 75, 79, 84], "increment": [15, 28, 39, 43, 58, 67, 75], "256": [15, 19, 28, 29, 30, 39, 42, 43, 63, 87, 88], "decrement": [15, 28, 67, 75, 84], "4096": [15, 28], "cethreshold": [15, 16, 29, 75], "usel4": [15, 29, 30], "count": [15, 20, 21, 27, 29, 35, 39, 40, 42, 43, 58, 66, 75, 79, 81], "dropstat": 15, "spent": 15, "dropnext": 15, "cobaltqueuedisctestsuit": 15, "enqueu": [15, 16, 17, 26, 29, 39, 50, 59, 60, 61, 62, 64, 65, 74, 78, 81, 87], "dequeu": [15, 16, 17, 26, 29, 30, 39, 50, 59, 60, 61, 62, 64, 65, 74], "verfi": 15, "ecn": [15, 16, 29, 30, 33, 60, 65, 77], "ns_log": [15, 16, 28, 29, 30, 43, 50, 60, 62, 74], "runner": [15, 16, 28, 29, 30, 43, 50, 60, 62, 74, 75], "nic12": 16, "nic14": 16, "kathleen": 16, "nichol": 16, "van": 16, "jacobson": 16, "bufferbloat": [16, 29, 60], "buf14": [16, 60], "queu": [16, 17, 21, 27, 29, 35, 41, 60, 65, 66, 71, 74, 75, 78, 81, 84, 87, 88], "decis": [16, 39, 42, 43, 60, 75, 84, 88], "longer": [16, 21, 37, 42, 49, 67, 75, 81, 87], "netdevic": [16, 22, 24, 27, 29, 31, 32, 34, 35, 36, 39, 43, 45, 52, 54, 56, 64, 65, 66, 67, 68, 72, 74, 75, 78, 80, 81, 84, 87], "codelqueuedisc": [16, 75], "codeltimestamptag": 16, "andrew": 16, "mcgregor": 16, "t\u00e4ht": 16, "eric": [16, 29], "dumazet": [16, 29, 75], "codelqueu": 16, "m_dropoverlimit": 16, "branch": [16, 56], "m_drop": 16, "updat": [16, 19, 20, 23, 30, 35, 36, 37, 39, 42, 43, 45, 54, 58, 60, 63, 68, 72, 74, 75, 76, 79, 84], "m_dropnext": 16, "met": [16, 42, 43, 84, 88], "exit": [16, 43, 74, 75, 87], "yet": [16, 32, 34, 36, 39, 44, 54, 55, 70, 72, 75, 84, 85, 86, 87], "wait": [16, 17, 19, 20, 21, 35, 39, 42, 51, 61, 65, 67, 70, 71, 74, 75, 84, 88], "admit": [16, 39, 42, 50, 67, 74], "droptail": [16, 26, 52, 59, 67], "capac": [16, 19, 23, 26, 29, 39, 41, 42, 59, 62, 64, 67, 72, 74, 75, 79], "vol": [16, 41, 49, 56, 63, 79, 85], "cfm": [16, 20, 75], "2209336": 16, "2014": [16, 23, 30, 41, 75, 81], "datatrack": [16, 21], "doc": [16, 18, 21, 23, 28, 31, 36, 49, 57, 75], "tsvwg": 16, "net": [16, 19, 22, 23, 25, 28, 29, 35, 36, 39, 43, 49, 51, 54, 57, 61, 68, 72, 73, 74, 75, 79, 88], "1500": [16, 17, 43, 63], "default_codel_limit": 16, "minbyt": [16, 28], "useecn": [16, 29, 30, 60, 67, 75], "pfifo": [16, 59], "invoc": [16, 25, 29, 60, 74, 81], "show": [16, 18, 23, 24, 25, 29, 34, 37, 39, 40, 42, 43, 49, 50, 54, 59, 60, 63, 64, 65, 68, 70, 72, 74, 75, 79, 81, 86, 87, 88], "printhelp": [16, 29, 43, 60, 74], "queuetyp": 16, "pcapfilenam": 16, "cwndtrfilenam": 16, "cwndcodel": 16, "analyz": [16, 22, 43, 45, 58, 72, 78, 88], "wireshark": [16, 21, 36, 43, 45, 55, 70, 86], "tcptrace": 16, "asymmetr": [16, 59, 61], "cabl": [16, 17, 19, 30], "modem": [16, 30, 61], "six": [16, 42], "wan": 16, "cmt": 16, "host": [16, 17, 19, 22, 25, 32, 33, 34, 35, 39, 40, 42, 43, 51, 55, 68, 71, 73, 75, 80, 81, 84], "attr": 16, "five": [16, 31, 32, 39, 63, 64, 84], "length": [16, 17, 29, 39, 43, 58, 64, 66, 67, 70, 74, 79, 84], "cwnd": [16, 65, 75], "codelqueuedisctestsuit": 16, "newtonstep": 16, "arithmet": [16, 42, 49, 72, 75], "against": [16, 23, 27, 36, 37, 40, 42, 56, 70, 75, 79, 84, 86, 87], "explicit": [16, 33, 39, 43, 65, 77, 80, 84], "fourth": [16, 27, 29, 59, 71, 81], "controllaw": 16, "fifth": [16, 24, 29, 84], "sixth": [16, 24, 29], "introduct": [17, 18, 39, 58, 61, 84], "complement": [17, 57, 61], "doxygen": [17, 27, 31, 39, 43, 57, 61, 71, 75, 84, 88], "spirit": 17, "ethernet": [17, 19, 25, 43, 51, 66, 68, 70, 84], "although": [17, 25, 37, 39, 42, 43, 45, 49, 54, 58, 68, 75, 81, 86], "real": [17, 19, 21, 22, 23, 25, 34, 36, 37, 38, 43, 45, 51, 56, 57, 58, 71, 72, 73, 75, 79, 80, 81, 84, 87, 88], "ever": [17, 58, 65, 73, 75], "bui": 17, "think": [17, 53, 68, 71, 79, 84], "come": [17, 34, 39, 42, 45, 56, 73, 74, 75, 81, 84, 88], "carrier": [17, 38, 84], "collis": [17, 29, 37, 39, 42, 45, 70, 84, 85], "backoff": [17, 37, 45, 79, 84], "contend": 17, "share": [17, 18, 22, 39, 41, 42, 58, 68, 72, 73, 79, 84], "natur": [17, 35, 36, 39, 54, 58, 68, 75, 79, 80], "instantan": [17, 39, 43, 75], "light": [17, 63, 67, 79, 84, 87, 88], "prioriti": [17, 38, 52, 55, 59, 62, 68, 75, 79, 81, 84, 87, 88], "never": [17, 39, 43, 49, 50, 58, 60, 63, 70, 73, 81], "happen": [17, 21, 29, 36, 39, 43, 58, 61, 73, 75, 84], "progress": 17, "jam": 17, "textbook": 17, "iso": 17, "seven": [17, 43, 88], "csmachannel": 17, "occupi": [17, 35, 36, 39, 84], "lowest": [17, 34, 37, 39, 84, 87], "1122": 17, "seemingli": 17, "endless": 17, "litani": 17, "llc": [17, 25], "mii": 17, "acronym": [17, 81, 84, 87], "media": [17, 58], "sublay": [17, 35, 36, 53, 75, 84], "transit": [17, 18, 23, 33, 37, 39, 42, 61, 65, 72, 84], "higher": [17, 19, 20, 21, 23, 34, 37, 39, 40, 42, 43, 45, 51, 53, 59, 60, 61, 62, 63, 66, 68, 73, 75, 81, 84, 86, 88], "sendfrom": [17, 25, 56, 61, 73], "contrast": [17, 75, 81], "precis": [17, 39, 73, 75], "signal": [17, 18, 37, 38, 41, 42, 43, 63, 65, 67, 71, 75, 79, 80, 81, 84, 85, 86, 87, 88], "pinout": 17, "bottom": [17, 67, 79, 84, 87], "thought": 17, "seen": [17, 18, 27, 42, 43, 49, 61, 70, 75, 84], "fast": [17, 19, 37, 51, 58, 59, 75, 81], "3u": 17, "equival": [17, 18, 34, 39, 58, 61, 65, 68, 71, 72, 74, 78, 83, 84, 86], "10base": 17, "1000base": 17, "lx": 17, "tell": [17, 39, 43, 49, 68, 73, 75, 79, 80, 87], "wiggl": 17, "transmitstart": 17, "last": [17, 20, 21, 27, 35, 36, 39, 42, 43, 49, 58, 70, 74, 75, 78, 79, 83, 84, 86], "across": [17, 18, 19, 25, 37, 39, 40, 56, 58, 65, 68, 71, 73, 75, 80, 81, 82, 84, 87], "transmitend": 17, "cope": 17, "pin": 17, "col": 17, "getstat": 17, "look": [17, 21, 23, 25, 29, 37, 39, 42, 43, 53, 57, 58, 63, 65, 72, 75, 84], "callback": [17, 23, 25, 34, 37, 39, 42, 43, 49, 50, 53, 65, 68, 71, 73, 75, 78, 79, 80, 81, 84], "setreceivecallback": [17, 81], "invok": [17, 18, 23, 25, 29, 34, 37, 39, 42, 43, 45, 50, 58, 60, 65, 68, 70, 71, 75, 78, 79, 80, 84], "datar": [17, 43, 61, 64, 74], "idl": [17, 23, 38, 39, 41, 61, 75, 79, 84], "awai": [17, 42, 73, 74, 84], "domain": [17, 39, 41, 42, 71], "begun": [17, 61], "thread": [17, 25, 37, 51], "serial": [17, 39, 58, 68, 80, 84], "determinist": [17, 24, 42, 63], "driven": [17, 20, 79], "reflect": [17, 25, 37, 39, 42, 63, 75], "elaps": [17, 61, 74, 75], "put": [17, 23, 29, 34, 39, 43, 51, 53, 58, 61, 72, 74, 75, 79, 84], "symmetr": [17, 61, 63], "hub": [17, 75], "central": [17, 33, 39, 72, 84], "plu": [17, 39, 40, 42, 58, 63, 70, 72, 84, 87], "question": [17, 35, 49, 58, 78], "bitrat": 17, "appear": [17, 25, 39, 65, 73, 85], "somewhat": [17, 45, 56], "mac48address": [17, 25, 37, 61, 70, 81], "senden": 17, "receiveen": 17, "encapsulationmod": [17, 25], "encapsul": [17, 25, 37, 39, 49, 61], "rxerrormodel": 17, "txqueue": [17, 27, 61, 64], "interframegap": [17, 61], "errormodel": [17, 24, 61, 84], "corrupt": [17, 61, 75], "strategi": [17, 18, 39, 66, 68, 75], "ethernethead": [17, 64], "ethernettrail": [17, 64], "fc": [17, 43, 64, 84], "dix": [17, 25], "dec": [17, 79], "intel": [17, 19, 40], "xerox": 17, "sometim": [17, 25, 39, 43, 58, 68, 71, 84], "ethernetii": 17, "familiar": [17, 43, 73, 87], "ethertyp": [17, 53, 70], "crc": [17, 79], "snap": [17, 25], "arp": [17, 25, 33, 34, 36, 42, 43, 53, 78], "ip_arp": 17, "iparp": 17, "ethernet_v1": 17, "ethernetv1": 17, "busi": [17, 23, 43, 45, 79, 84], "ppropag": 17, "want": [17, 19, 21, 22, 25, 28, 35, 36, 43, 51, 53, 57, 58, 61, 68, 70, 71, 72, 73, 75, 81, 88], "pow": 17, "microsecond": [17, 45, 51, 87], "attempt": [17, 18, 36, 39, 42, 43, 51, 65, 72, 79, 81, 84, 88], "csmahelp": [17, 35, 36, 43, 64, 65], "our": [17, 19, 21, 22, 29, 35, 39, 42, 43, 56, 58, 61, 72, 75, 84], "conceptu": [17, 25, 37, 53, 61, 75], "interest": [17, 23, 25, 36, 39, 43, 61, 63, 72, 75, 80, 81, 84], "bare": [17, 34, 53, 61], "husk": [17, 61], "plug": [17, 45, 58, 61, 72], "ask": [17, 39, 43, 61, 71, 73, 75, 78, 84, 88], "csmanod": 17, "ncsmanod": 17, "instanti": [17, 22, 27, 39, 42, 43, 49, 61, 64, 68, 78], "setchannelattribut": [17, 43, 61, 64], "stringvalu": [17, 18, 25, 43, 49, 61, 62, 64, 65, 66, 75, 83, 87], "100mbp": 17, "timevalu": [17, 43, 75, 87], "nanosecond": [17, 87], "6560": 17, "setdeviceattribut": [17, 43, 61, 64], "frames": 17, "togeth": [17, 34, 37, 39, 43, 53, 58, 59, 61, 63, 68, 72, 79, 84, 87, 88], "netdevicecontain": [17, 25, 35, 36, 43, 61, 64, 81, 87, 88], "csmadevic": [17, 18], "recommend": [17, 18, 21, 39, 42, 43, 49, 63, 65, 66, 68, 73, 75, 81, 84], "carefulli": [17, 36], "surpris": 17, "believ": [17, 22, 40], "possibli": [17, 24, 43, 49, 58, 67, 73, 79, 87], "largest": [17, 29, 30, 39, 84], "pdu": [17, 39, 42, 43, 88], "894": 17, "datagram": [17, 34, 39, 70, 71, 80, 81], "10base5": 17, "spec": [17, 39, 73], "1518": 17, "overhead": [17, 35, 36, 39, 58, 61, 68, 70, 72, 73, 84], "1492": 17, "extra": [17, 25, 40, 43, 58, 75, 79], "eight": [17, 32, 42, 61, 72], "hardwar": [17, 22, 25, 37, 43, 46, 56, 73, 84], "1526": 17, "illeg": [17, 18], "quit": [17, 21, 35, 36, 42, 43, 58, 68, 72, 73, 79, 84], "subtli": 17, "balk": 17, "jumbo": [17, 19, 34], "9000": 17, "super": [17, 25, 42], "offici": 17, "sanction": 17, "gigabit": 17, "nic": [17, 22, 51], "64000": 17, "megabit": 17, "certainli": 17, "essenti": [17, 22, 29, 66, 73, 88], "vampir": 17, "tap": [17, 22, 25, 39, 43, 56, 79], "1980": [17, 63], "style": [17, 37, 39, 58, 71, 81, 84, 87], "easi": [17, 58, 72, 73, 79, 84], "Be": [17, 73], "care": [17, 19, 23, 27, 35, 36, 39, 43, 65, 66, 68, 72, 75, 81, 87], "swerv": 17, "realiti": [17, 61], "arrang": [17, 22, 35, 61, 73], "insert": [17, 34, 39, 43, 53, 55, 58, 61, 63, 65, 68, 71, 79, 81, 84], "abstractli": [17, 61], "m_traceenqueu": [17, 61], "m_tracedequeu": [17, 61], "m_tracedrop": [17, 61], "situat": [17, 25, 29, 35, 37, 39, 40, 42, 61, 65, 73, 74, 75], "transmitcompleteev": [17, 61], "interrupt": [17, 27, 51, 61], "handler": [17, 22, 34, 51, 73, 78], "timeout": [17, 19, 39, 42, 43, 67, 70, 75, 87], "unabl": [17, 29, 39], "understand": [17, 35, 36, 54, 56, 68, 70, 71, 73, 81], "incorrectli": 17, "fire": [17, 35, 42, 43, 61, 65, 72, 75], "overload": [17, 55], "talk": [17, 22, 61, 73], "m_droptrac": [17, 35, 61], "m_receiveen": 17, "discard": [17, 25, 29, 36, 39, 61, 70, 81, 84, 87], "m_receiveerrormodel": 17, "receiveerrormodel": [17, 61], "m_rxtrace": [17, 35, 61], "multicast": [17, 33, 35, 45, 80, 88], "simplist": 17, "manner": [17, 39, 42, 65, 75, 79, 84], "explicitli": [17, 39, 42, 43, 58, 67, 68, 71, 75, 87], "flavor": 17, "subset": [17, 22, 39, 45, 58], "discret": [18, 23, 39, 72], "processor": [18, 88], "lp": [18, 33, 43], "leverag": [18, 19, 39, 75, 87, 88], "memori": [18, 19, 22, 38, 39, 43, 52, 54, 71, 84, 88], "cours": [18, 43, 49, 64, 73, 84, 86, 87, 88], "cross": [18, 39, 41, 58, 74, 75, 84], "word": [18, 21, 39, 42, 43, 63, 73, 75, 80, 84], "rebuild": [18, 68], "stamp": [18, 67, 72, 79], "past": [18, 39, 42, 43, 45, 63, 78], "clearli": [18, 20, 39, 43, 71, 75], "conserv": [18, 39, 42, 75], "lookahead": 18, "richard": 18, "fujimoto": 18, "distributedsimulatorimpl": 18, "null": [18, 39, 61, 71, 75, 84], "nullmessagesimulatorimpl": 18, "gather": [18, 22, 23, 39, 68], "simulatorimplementationtyp": [18, 25, 43], "best": [18, 37, 39, 42, 43, 50, 51, 71, 75, 76, 84, 86, 87, 88], "nearli": [18, 79], "fulli": [18, 21, 37, 39, 63, 68, 75], "abl": [18, 21, 23, 25, 37, 39, 42, 43, 51, 58, 59, 62, 65, 71, 72, 73, 75, 76, 79, 84, 88], "quickli": [18, 43, 75, 86], "nearest": 18, "knowledg": [18, 42, 75, 76], "rank": 18, "signifi": 18, "individu": [18, 23, 25, 30, 39, 42, 43, 57, 81, 84], "lp1": 18, "lp2": 18, "mpic": 18, "openmpi": 18, "bin": 18, "libopenmpi": 18, "dev": [18, 19, 28, 43, 45, 56, 73, 75, 88], "conflict": [18, 25, 43, 63, 70], "libotf": 18, "emac": 18, "resolv": [18, 35], "renam": [18, 71], "tini": 18, "otfdump": 18, "mv": 18, "usr": 18, "sudo": [18, 19, 25, 43, 51, 73], "libgfortran": 18, "libtorqu": 18, "numactl": 18, "rpm": 18, "fc11": 18, "i586": 18, "lib": 18, "vt": 18, "mirror": 18, "releas": [18, 31, 37, 39, 45, 60, 73, 75, 81, 82, 84, 87], "everyth": [18, 27, 53, 63, 75], "i386": 18, "forc": [18, 25, 42, 43, 58, 60, 70, 80, 84], "ivh": 18, "complain": [18, 74], "inabl": 18, "libmpi_cxx": 18, "ld_library_path": 18, "bash": [18, 49, 63, 74], "export": [18, 22, 27, 49, 75, 88], "lib64": 18, "bash_profil": 18, "bashrc": [18, 19], "retyp": 18, "recent": [18, 22, 39, 65, 70, 75, 84], "avx": 18, "On": [18, 31, 39, 42, 43, 58, 68, 71, 72, 75, 84], "older": 18, "thrown": 18, "fail": [18, 25, 39, 42, 43, 45, 71, 72, 75, 80, 81, 84], "symptom": 18, "termin": [18, 22, 27, 39, 43, 63, 75, 79, 80], "sigil": 18, "grep": [18, 19, 43], "cpuinfo": 18, "anyth": [18, 25, 34, 36, 42, 73], "mpich": 18, "dnf": 18, "load": [18, 22, 37, 39, 42, 43, 49, 67, 72, 88], "x86_64": [18, 19], "distclean": 18, "shown": [18, 19, 22, 36, 37, 39, 43, 49, 67, 72, 73, 75, 79, 81, 84], "readi": [18, 34, 39, 65, 75, 87], "mpiexec": 18, "robust": [18, 39, 42, 75, 84, 85], "templat": [18, 39, 43, 57, 64], "few": [18, 24, 27, 34, 36, 39, 42, 49, 56, 58, 68, 71, 75, 80, 84, 87], "nm": [18, 54], "p2p": [18, 43, 54, 64], "machinefil": 18, "mpihost": 18, "nullmsg": 18, "simpli": [18, 25, 34, 36, 39, 40, 42, 54, 56, 58, 73, 75, 79, 80, 84, 87], "localhost": [18, 43, 54], "Or": [18, 42], "cluster": [18, 72], "profil": [18, 37, 38, 39, 63, 79, 81], "mpiinterfac": 18, "snippet": [18, 34, 43, 75, 80, 87], "argument": [18, 34, 37, 42, 43, 49, 58, 71, 75, 78, 80, 81, 83, 86], "choic": [18, 39, 42, 43, 55, 56, 58, 68, 72, 78, 84], "cmd": [18, 43], "addvalu": 18, "globalvalu": [18, 25], "argc": [18, 43], "argv": [18, 43], "node1": 18, "node2": 18, "lp0": 18, "accomplish": [18, 36, 45, 71, 72, 75, 84, 87], "reach": [18, 20, 25, 27, 29, 37, 39, 42, 49, 54, 63, 70, 75, 79, 84], "were": [18, 19, 22, 24, 39, 40, 42, 43, 54, 58, 71, 72, 73, 75, 79, 81, 83, 84, 87], "previous": [18, 25, 35, 36, 39, 42, 43, 45, 73, 75, 80, 84, 87], "getsystemid": 18, "pointtopoint": [18, 29, 31, 43, 65], "enablepcapal": 18, "rank0": 18, "phy": [18, 23, 38, 40, 41, 42, 46, 82, 83, 85, 86, 87, 88], "enablepcap": [18, 25, 88], "apdevic": [18, 87], "rank1": 18, "plane": [19, 38, 40, 41, 43, 81], "kit": 19, "foundat": 19, "acceler": [19, 75], "workload": 19, "dpdknetdevic": 19, "bypass": [19, 51], "fd": [19, 25, 39, 42, 43, 51], "fdnetdevic": [19, 22, 25, 51], "overrid": [19, 36, 59, 65], "interact": [19, 23, 25, 33, 39, 49, 51, 65, 71, 72, 73, 77, 79, 84, 88], "patel2019": 19, "patel": 19, "hrishikesh": 19, "hiraskar": 19, "india": 19, "pvt": 19, "ltd": 19, "bangalor": 19, "extend": [19, 21, 23, 25, 27, 39, 43, 45, 56, 58, 63, 70, 72, 73, 75, 78, 79, 81, 84, 87, 88], "emul": [19, 25, 31, 36, 38, 51, 58, 75, 84], "17": [19, 22, 25, 39, 41, 42, 58, 72, 75, 79, 84, 86, 87], "dl": [19, 27, 39, 40, 42, 43, 75, 84, 85, 87, 88], "ab": [19, 27, 41, 72, 75], "3321349": 19, "3321358": 19, "capabl": [19, 22, 29, 33, 35, 36, 37, 39, 45, 49, 51, 54, 56, 60, 68, 70, 71, 75, 79, 81, 87], "eal": 19, "hide": 19, "card": [19, 22, 25, 53, 75, 84], "life": [19, 43, 73, 79], "cycl": [19, 79, 84], "dpdknetdevicehelp": 19, "pool": [19, 32, 39], "launchcor": 19, "handlerx": 19, "burst": [19, 24, 30, 34, 60, 74, 75, 88], "mbuf": [19, 58], "convert": [19, 23, 30, 37, 39, 42, 43, 49, 58, 71, 72, 79, 81, 88], "api": [19, 23, 24, 25, 27, 31, 33, 34, 36, 39, 43, 49, 50, 51, 52, 53, 55, 56, 57, 58, 63, 72, 75, 79, 80, 82, 84, 87, 88], "ring": [19, 51, 86], "fill": [19, 39, 42, 58, 75], "stale": 19, "flush": [19, 54, 68, 81], "stop": [19, 25, 27, 39, 43, 51, 55, 58, 65, 66, 75, 79, 80, 81], "lastli": [19, 43], "close": [19, 37, 38, 39, 42, 45, 51, 53, 67, 71, 75, 79, 80, 81, 84, 87], "simultan": [19, 39, 42, 72], "offload": [19, 25, 75], "qdisc": [19, 28, 29, 30, 50, 51, 65, 74, 75], "oracl": 19, "vm": [19, 22, 73], "virtualbox": [19, 73], "advanc": [19, 33, 39, 41, 70, 75, 84], "mt": [19, 38, 42, 43], "82545em": 19, "promiscu": [19, 21, 25, 43, 51, 73, 78], "rest": [19, 39, 40, 43, 49, 71, 84, 87], "libdpdk": 19, "igb": 19, "uio": 19, "dkm": 19, "04": [19, 39, 42, 49], "v19": 19, "lt": 19, "visit": 19, "stabl": [19, 43, 75, 81, 84], "19": [19, 39, 41, 42, 43, 51, 72, 84, 86, 87], "edit": [19, 40, 75, 87], "common_bas": 19, "config_rte_build_shared_lib": 19, "gcc": 19, "linuxapp": 19, "destdir": 19, "rte_sdk": 19, "folder": [19, 23, 43, 63, 79, 86, 87], "rte_target": 19, "home": [19, 28, 43], "usernam": 19, "reconfigur": [19, 38, 42, 43, 49, 87], "reusabl": 19, "modprob": 19, "uio_pci_gener": 19, "vfio": 19, "pci": [19, 39], "igb_uio": 19, "OR": 19, "insmod": 19, "kmod": 19, "ko": 19, "reboot": 19, "runtim": [19, 49, 58, 68, 79], "echo": [19, 25, 32, 58, 64, 75], "sy": 19, "mm": 19, "2048kb": 19, "nr_hugepag": 19, "boot": 19, "grub": 19, "grub_cmdline_linux_default": 19, "suggest": [19, 27, 30, 39, 43, 49, 60, 63, 68, 75, 81, 88], "gbp": [19, 66], "grub2": 19, "cat": 19, "meminfo": 19, "reserv": [19, 39, 43, 58, 70, 79, 88], "mkdir": 19, "mnt": 19, "huge": [19, 39], "mount": 19, "hugetlbf": 19, "nodev": 19, "perman": [19, 35, 36], "fstab": 19, "statu": [19, 29, 37, 39, 42, 51, 65, 67, 81, 84], "pmd": 19, "setpmdlibrari": 19, "librte_pmd_e1000": 19, "setdpdkdriv": 19, "txtimeout": 19, "maxrxburst": 19, "maxtxburst": 19, "mempoolcaches": 19, "mempool": 19, "nbrxdesc": 19, "descriptor": [19, 22, 37, 51, 71, 88], "1024": [19, 29, 39, 42, 86], "nbtxdesc": 19, "1gbp": 19, "emu": [19, 22, 25, 43, 51], "ping": [19, 25, 32, 39, 51, 63, 73], "icmp": [19, 25, 32, 51], "onoff": [19, 25, 51, 71, 75, 80, 87, 88], "throughput": [19, 25, 38, 43, 51, 72, 75, 81, 84, 86, 87], "onoffappl": [19, 71, 75, 80], "satur": [19, 25, 39, 42, 43, 51, 72, 82, 88], "manet": [20, 21, 27, 55, 68], "charl": 20, "perkin": 20, "pravin": 20, "bhagwat": 20, "1994": 20, "hop": [20, 21, 27, 45, 54, 68, 70, 79], "metric": [20, 39, 42, 45, 54, 68], "resilinet": [20, 21, 24], "research": [20, 21, 23, 24, 39, 43, 49, 56, 68, 75, 79, 81, 84], "kansa": [20, 21, 24], "paper": [20, 21, 23, 27, 29, 30, 41, 43, 45, 67, 75, 84, 85, 86, 88], "url": [20, 79], "nexthop": 20, "m_periodicupdateinterv": 20, "entir": [20, 25, 30, 39, 42, 43, 54, 58, 63, 68, 88], "did": [20, 29, 56, 70], "irrespect": [20, 68], "hopcount": 20, "highli": [20, 30], "chanc": [20, 49, 72], "fluctuat": [20, 42, 43, 75], "weight": [20, 39, 43, 67, 75], "settl": [20, 63], "advertis": [20, 32, 36, 68, 75, 81], "portal": [20, 45], "citat": [20, 75], "doid": 20, "190314": 20, "190336": 20, "dynam": [21, 32, 33, 35, 36, 37, 39, 42, 55, 63, 66, 68, 79, 84, 85], "reactiv": [21, 45], "multi": [21, 29, 41, 43, 45, 50, 54, 65, 72, 81, 82, 85, 87, 88], "hoc": [21, 25, 31, 49, 55, 68, 81, 84, 87], "4728": 21, "rsendbuff": 21, "mainten": [21, 39, 45, 58], "acknowledg": [21, 33, 37, 39, 52, 70, 84, 87], "notif": [21, 33, 37, 39, 42, 51, 55, 65, 68, 77, 81, 84], "deliveri": [21, 33, 39, 68, 80, 81], "fastest": 21, "absenc": [21, 28, 39, 42, 75], "notifi": [21, 23, 34, 39, 42, 43, 45, 49, 51, 56, 65, 67, 71, 75, 78, 80, 81, 84], "recalcul": [21, 66], "linkacknowledg": 21, "passiv": [21, 37, 84], "assur": 21, "cancel": [21, 42, 81], "timer": [21, 30, 42, 43, 60, 68, 75, 84], "scheme": [21, 29, 36, 39, 41, 42, 43, 60, 66, 70, 72, 73, 75, 84, 88], "receipt": [21, 75], "retransmit": [21, 36, 39, 75], "append": [21, 43, 58, 61, 88], "save": [21, 39, 43, 45, 72, 81, 84], "sort": [21, 76, 81, 84], "subpath": 21, "dijkstra": 21, "song": 21, "luan": 21, "lsuper": 21, "mail": [21, 43, 60], "ustc": 21, "cn": [21, 24, 39, 79], "unknown": [21, 36, 39, 75, 87], "unsupport": [21, 38, 44], "wifimac": [21, 84, 87], "maxsendbufflen": 21, "maxsendbufftim": 21, "maxmaintlen": 21, "50": [21, 32, 42, 43, 49, 72, 75, 79, 81, 85, 86, 87], "maxmainttim": 21, "maxcachelen": 21, "routecachetimeout": 21, "300": [21, 41, 42, 43, 45, 49, 63, 78, 86], "rreqretri": 21, "retransmiss": [21, 27, 38, 42, 75, 84], "16": [21, 35, 37, 39, 41, 42, 43, 46, 49, 60, 62, 63, 68, 70, 75, 84, 86, 88], "discoveri": [21, 25, 34, 36, 39, 45, 70, 84], "cachetyp": 21, "linkcach": 21, "dsrfsheader": 21, "post": [21, 42, 43, 79, 87], "ipv4head": [21, 34], "carri": [21, 27, 39, 40, 54, 55, 63, 68, 70, 75, 80, 88], "repli": [21, 34, 35, 36, 39, 75, 84], "align": [21, 39, 42, 45, 60, 71, 72, 75, 79, 84, 86, 87, 88], "shim": [21, 84], "loop": [21, 33, 39, 42, 43, 86], "expir": [21, 35, 36, 37, 39, 45, 56, 72, 75, 81, 84], "polici": [21, 26, 39, 42, 43, 62, 64, 67, 68, 73, 75, 84, 87], "maxentrieseachdst": 21, "favor": [21, 25, 84], "nodetraversaltim": 21, "travers": [21, 25, 49, 53, 78], "passiveacktimeout": 21, "smaller": [21, 39, 42, 43, 63, 74, 75, 88], "veloc": [21, 49, 79], "becom": [21, 23, 29, 39, 42, 43, 45, 58, 63, 73, 74, 75, 81, 84], "dsrhelper": 21, "dsrmainhelp": 21, "dsrmain": 21, "adhocnod": 21, "tradit": [21, 29, 39, 68, 75], "compliant": [21, 45, 46, 55, 75, 81, 84], "octet": [21, 61], "consequ": [21, 35, 36, 39, 63, 66, 68, 70, 75, 80], "decod": [21, 39, 42, 43, 45, 70, 84, 85, 87, 88], "complianc": [21, 33, 42, 55, 84], "20150430233910": 21, "rice": [21, 75], "chapter00": 21, "rfc4728": 21, "broch": 21, "20150725135435": 21, "mobicom98": 21, "testb": [22, 25, 41, 85, 86], "thing": [22, 25, 27, 29, 35, 36, 37, 43, 58, 71, 73, 75, 78, 88], "tapbridg": [22, 25], "particip": [22, 73], "orbit": [22, 49], "laboratori": [22, 79], "trial": [22, 81], "dimension": [22, 49], "400": [22, 43, 45, 49, 84, 87], "emufdnetdevic": 22, "drive": [22, 25, 40, 81], "accumul": [22, 39, 42, 43, 60, 74, 75], "lab": 22, "primari": [22, 31, 39, 42, 43, 55, 75, 79, 83, 84, 87, 88], "experiment": [22, 23, 24, 41, 43, 75, 86], "world": [22, 36, 39, 57, 58, 72, 73, 79, 87], "invers": [22, 73, 84], "center": [22, 24, 33, 39, 42, 43, 72, 74, 79, 81, 87], "proxi": [22, 39, 45, 70], "behav": [22, 36, 49, 56, 58, 59, 86, 88], "netmap": 22, "dpdk": 22, "improv": [22, 39, 40, 43, 54, 56, 66, 68, 70, 75, 85, 88], "scope": [22, 33, 35, 36, 39, 44, 52, 68, 73, 75, 82], "emufdnetdevicehelp": [22, 51], "tapfdnetdevicehelp": 22, "my": [22, 76], "Not": [22, 37, 39, 75, 87], "compil": [22, 43, 57, 81, 84], "driver": [22, 25, 51, 65], "hugepag": 22, "configureloc": 22, "useloc": 22, "usebridg": 22, "consumpt": [23, 39, 40, 43, 79, 84], "investig": [23, 39, 75], "fuel": 23, "scaveng": 23, "viabl": 23, "incorpor": [23, 29, 39, 72], "emerg": [23, 30, 45, 79], "divers": [23, 39, 43, 87], "compos": [23, 25, 39, 40, 43, 72, 79, 81, 88], "suppli": [23, 79], "draw": [23, 84], "drain": [23, 79], "react": [23, 36, 54, 75, 78], "fraction": [23, 27, 38, 41, 42, 75], "lifetim": [23, 68, 75, 84], "recoveri": [23, 33, 68, 84], "discharg": [23, 79], "replenish": [23, 74], "similarli": [23, 37, 39, 42, 43, 58, 65, 71, 75, 80, 84, 86, 87, 88], "outag": 23, "finit": [23, 45], "electr": [23, 24, 79], "vehicl": [23, 63, 79, 81], "motor": [23, 79], "infeas": [23, 84], "recharg": [23, 79], "solar": 23, "contribut": [23, 39, 41, 42, 45, 49, 60, 79, 81], "jointli": [23, 39], "ccabusi": 23, "channelswitch": 23, "sleep": [23, 37, 79, 84], "amper": 23, "listen": [23, 27, 34, 39, 43, 49, 75, 81, 84], "At": [23, 27, 34, 39, 42, 49, 54, 63, 70, 75, 79, 80, 81, 84, 86, 87, 88], "consum": [23, 25, 39, 42, 43, 65, 71, 79, 84], "nomin": [23, 79], "dbm": [23, 37, 39, 43, 63, 84, 86, 87], "observ": [23, 27, 39, 42, 43, 75, 84, 86], "remot": [23, 32, 34, 35, 39, 40, 42, 43, 75, 79, 80, 84], "deplet": [23, 79], "afterward": [23, 39], "likewis": 23, "resum": [23, 75], "sensor": [23, 37], "cpu": [23, 51, 58, 81], "supercapacitor": 23, "nickel": 23, "hydrid": 23, "ni": 23, "mh": 23, "customiz": [23, 72], "dataset": 23, "20130428021737": 23, "cubinlab": 23, "ee": 23, "unimelb": 23, "au": 23, "jrid": 23, "manuel": 23, "ns2": [23, 55, 63, 79], "node204": 23, "wu": 23, "nabar": 23, "poovendran": 23, "handi": 23, "timmermann": 23, "asm": 23, "rakhmatov": 23, "vrudhula": 23, "electron": [23, 79, 85], "aid": 23, "iccad": 23, "488": 23, "493": 23, "novemb": 23, "wallach": 23, "predict": [23, 29, 37, 63], "islp": 23, "154": 23, "159": [23, 87], "tapparello": 23, "ayatollahi": 23, "heinzelman": 23, "poster": [23, 46], "session": [23, 39, 75], "ga": 23, "neutral": 23, "enssi": 23, "memphi": 23, "tn": 23, "publicli": [23, 34, 79, 84, 88], "aggreg": [23, 30, 33, 38, 49, 53, 65, 68, 71, 75, 78, 84, 87], "basicenergysourceinitialenergyj": 23, "basicenergysupplyvoltagev": 23, "voltag": [23, 79], "periodicenergyupdateinterv": 23, "consecut": [23, 39, 42, 75, 84, 88], "rvbatterymodelperiodicenergyupdateinterv": 23, "rvbatterymodelopencircuitvoltag": 23, "circuit": 23, "rvbatterymodelcutoffvoltag": 23, "cutoff": 23, "rvbatterymodelalphavalu": 23, "alpha": [23, 30, 39, 43, 60, 67, 75], "rvbatterymodelbetavalu": 23, "beta": [23, 30, 60, 67, 75, 84], "rvbatterymodelnumofterm": 23, "term": [23, 26, 39, 41, 42, 54, 58, 63, 65, 72, 79, 84, 86, 87, 88], "infinit": [23, 39, 63, 70, 75], "estim": [23, 30, 33, 39, 40, 42, 60, 63, 84, 88], "idlecurrenta": 23, "ccabusycurrenta": 23, "cca": [23, 37, 84, 86], "txcurrenta": 23, "rxcurrenta": 23, "switchingcurrenta": 23, "sleepcurrenta": 23, "txcurrentmodel": 23, "periodicharvestedpowerupdateinterv": 23, "harvestablepow": 23, "remainingenergi": 23, "basicenergysourc": [23, 79], "rvbatterymodelbatterylevel": 23, "rvbatterymodelbatterylifetim": 23, "totalenergyconsumpt": [23, 79], "harvestedpow": 23, "basicenergyharvest": 23, "totalenergyharvest": 23, "rateerrormodel": 24, "listerrormodel": 24, "receivelisterrormodel": 24, "bursterrormodel": 24, "stochast": [24, 63, 72, 81], "empir": [24, 42, 63, 86], "prescrib": [24, 42], "uid": [24, 58], "errorr": 24, "errorunit": 24, "around": [24, 29, 37, 39, 43, 49, 51, 58, 72, 73, 79, 87], "lost": [24, 34, 39, 71, 75, 80, 84], "anywher": [24, 27, 72], "artifici": [24, 39, 75], "mimick": 24, "expens": [24, 39, 79], "spectrum": [24, 31, 37, 38, 41, 42, 43, 63, 84, 86], "errmodel": 24, "bool": [24, 36, 58, 64, 65, 68, 75], "iscorrupt": 24, "pkt": [24, 58, 75], "potenti": [24, 39, 40, 84], "void": [24, 34, 37, 43, 55, 58, 64, 65, 66, 68, 71, 75], "reset": [24, 39, 42, 66, 75, 81, 84], "disbl": 24, "isen": 24, "const": [24, 34, 58, 64, 65, 66, 68, 71, 75, 78, 81], "notion": [24, 49, 71, 84], "chain": [24, 39, 42, 63, 78, 84, 87], "phyrxdrop": 24, "simplenetdevic": [24, 64], "pointtopointnetdevic": [24, 27, 64], "csmanetdevic": [24, 27, 64, 70], "virtualnetdevic": [24, 39], "tutori": [24, 31, 41, 49, 61], "exercis": [24, 75], "nsc": 24, "lfn": 24, "2007": [24, 79, 81, 85], "truc": 24, "anh": 24, "nguyen": 24, "jame": 24, "sterbenz": 24, "jpg": 24, "ittc": 24, "ku": 24, "director": 24, "depart": [24, 79], "engin": [24, 39, 43, 79], "lawrenc": 24, "nsf": 24, "grant": [24, 39, 42, 84, 88], "0626918": 24, "postmodern": 24, "1050226": 24, "multilay": 24, "resili": 24, "geni": 24, "defens": 24, "dod": 24, "freedom": 25, "That": [25, 27, 43, 61, 73, 78], "pure": [25, 39, 58, 65, 68, 75, 76], "tun": [25, 73], "reader": [25, 31, 39, 43, 68, 71, 72, 75, 87], "fdreader": 25, "core": [25, 38, 39, 40, 57, 58, 75, 85], "startdevic": 25, "setfiledescriptor": 25, "incom": [25, 29, 36, 39, 51, 53, 60, 67, 74, 75, 78, 81, 84, 88], "receivecallback": [25, 34, 78, 81], "whose": [25, 34, 39, 43, 49, 63, 65, 72, 84], "task": [25, 29, 34, 36, 39, 45, 51, 56, 76, 84], "safeti": [25, 63, 81], "schedulewithcontext": 25, "overwhelm": 25, "rxqueuesiz": 25, "silent": 25, "fordwarup": 25, "had": [25, 34, 40, 42, 45, 75, 84], "decapsul": 25, "upper": [25, 37, 39, 40, 42, 45, 51, 53, 63, 65, 66, 67, 81, 84, 87, 88], "forwardup": [25, 34], "iff_no_pi": 25, "dixpi": 25, "opposit": [25, 78], "newli": [25, 39, 75], "exce": [25, 51, 72, 74, 75, 84], "backpressur": [25, 78], "pend": [25, 34, 35, 36, 37, 39, 51], "ii": [25, 39, 62, 63, 67, 88], "suppos": [25, 42, 43, 79, 81], "compat": [25, 39, 43, 45, 49, 56, 84], "1q": 25, "vlan": 25, "fake": [25, 58, 71], "prerequisit": 25, "primit": [25, 38, 42, 81, 84], "1gb": 25, "60mbp": 25, "fdnetdevicehelp": 25, "himself": 25, "almost": [25, 36, 65], "checksum": [25, 35, 70, 78, 80], "realtimesimulatorimpl": [25, 43], "checksumen": 25, "booleanvalu": [25, 39, 43, 67, 75, 87], "perhap": [25, 81, 84], "unusu": [25, 87], "permiss": 25, "creator": 25, "privileg": [25, 51], "createfiledescriptor": 25, "interprocess": 25, "unix": [25, 71], "fork": [25, 75], "suid": 25, "ancillari": 25, "scm_right": 25, "permit": [25, 45, 65, 81, 84], "transpar": [25, 39, 45, 70], "emunetdevic": [25, 56], "bring": [25, 39, 43], "deprec": [25, 54], "spoof": [25, 73], "addition": [25, 36, 37, 39, 42, 43, 49, 63, 65, 72, 80, 81, 84], "segment": [25, 36, 38, 42, 43, 58, 75], "organization": 25, "uniqu": [25, 26, 37, 39, 43, 53, 54, 55, 58, 64, 65, 73, 79, 84, 87], "oui": 25, "00": [25, 40, 42, 49, 54, 64, 73, 75, 86], "vendor": [25, 37, 39, 45, 81], "organ": [25, 31, 34, 37, 39, 43, 63, 79, 84], "okai": 25, "won": [25, 43, 56, 63], "setdevicenam": 25, "devicenam": [25, 73], "mac48addressvalu": 25, "net_devic": 25, "192": [25, 35, 88], "168": [25, 35], "ghost": [25, 73], "app": [25, 32, 35, 49, 73], "ipc": [25, 73], "mask": [25, 32, 37, 56, 84, 88], "setmodepi": 25, "modepi": 25, "settapipv4address": 25, "tapip": 25, "settapipv4mask": 25, "tapmask": 25, "spin": 25, "unless": [25, 34, 39, 56, 58, 65, 68], "somehow": [25, 58], "maxtx": 25, "maxtxdrop": 25, "maxpromiscrx": 25, "maxrx": 25, "sniffer": [25, 51], "promiscsniff": 25, "tcpdump": [25, 58], "dummi": [25, 50, 52, 58], "pipe": [25, 75], "socketpair": 25, "realtim": [25, 43], "implementn": 25, "fd2fd": 25, "fifoqueuedisc": [26, 62], "fifoqueuedisctestsuit": 26, "exceed": [26, 29, 35, 42, 63, 64], "tupl": [27, 29, 34, 83], "xml": [27, 56, 70], "stat": [27, 79], "modular": [27, 31, 68, 84, 87], "subclass": [27, 34, 39, 53, 58, 63, 64, 65, 66, 75, 80, 81, 84, 88], "flowprob": 27, "flowclassifi": 27, "classifi": [27, 29, 30, 38, 39, 43, 59, 62, 65, 71, 87], "ipv4flowprob": 27, "blindli": 27, "wifinetdevic": [27, 64, 81, 82, 84], "flowmonitor": 27, "moment": [27, 39, 42, 43, 49], "sendoutgo": 27, "ipv": [27, 33, 65, 78], "unicastforward": [27, 68], "localdeliv": [27, 34, 68, 78], "flowprobetag": 27, "classif": [27, 29, 36, 39, 65], "underlin": 27, "timefirsttxpacket": 27, "timelasttxpacket": 27, "timefirstrxpacket": 27, "timelastrxpacket": 27, "delaysum": 27, "jittersum": 27, "jitter": [27, 70], "variat": [27, 37, 63, 66, 86], "3393": 27, "txbyte": [27, 42], "txpacket": 27, "rxbyte": [27, 42, 43], "rxpacket": 27, "lostpacket": 27, "timesforward": 27, "reportedli": 27, "delayhistogram": 27, "jitterhistogram": 27, "packetsizehistogram": 27, "packetsdrop": 27, "bytesdrop": 27, "worth": [27, 36, 81], "intercept": [27, 63, 78], "ignor": [27, 37, 39, 49, 56, 63, 65, 71, 73, 75, 84, 88], "irrelev": 27, "quantiti": [27, 37, 42, 43], "exact": [27, 39, 40, 42, 63, 68, 71], "stress": [27, 63, 68], "ideal": [27, 38, 43, 72, 79, 84, 88], "minim": [27, 42, 43, 56, 58, 68, 72, 75, 80, 84], "carneiro": [27, 55], "fortuna": 27, "ricardo": 27, "2009": [27, 41, 45, 72, 81, 85, 88], "valuetool": [27, 79], "09": 27, "dx": [27, 75], "4108": 27, "valuetools2009": 27, "7493": 27, "extrem": [27, 43, 58, 85], "flowmonitorhelp": 27, "flowhelp": 27, "installal": [27, 55], "yourapplicationscontain": 27, "stop_tim": 27, "cleanup_tim": 27, "serializetoxmlfil": 27, "nameoffil": 27, "deactiv": [27, 38, 43], "flight": [27, 54, 75], "maxperhopdelai": 27, "starttim": [27, 43], "delaybinwidth": 27, "jitterbinwidth": 27, "packetsizebinwidth": 27, "packets": 27, "flowinterruptionsbinwidth": 27, "flowinterrupt": 27, "flowinterruptionsmintim": 27, "inter": [27, 38, 39, 41, 43, 72, 84], "flowstat": 27, "flowid": 27, "0n": [27, 49], "20067198": 27, "2235764408": 27, "2255831606": 27, "138731526300": 27, "1849692150": 27, "lastdelai": 27, "2149400": 27, "3735": 27, "7466": 27, "ipv4flowclassifi": 27, "sourceaddress": 27, "destinationaddress": 27, "sourceport": 27, "49153": [27, 75], "destinationport": 27, "ipv6flowclassifi": 27, "delayfromfirstprobesum": 27, "2224020": 27, "199415389258": 27, "notic": [27, 39, 42, 43, 65, 68, 72, 75, 84], "perfectli": [27, 75], "behaviour": [27, 33, 35, 39, 40, 43, 51, 68, 70, 79], "fragment": [27, 33, 34, 35, 39, 42, 52, 56, 70, 84], "reassembli": [27, 56], "matrix": [27, 43, 72], "multir": 27, "hidden": [27, 43, 84], "pal19": 28, "flowqueu": [28, 29, 30], "cobalt": [28, 77], "fqcodel": [28, 30, 50, 65, 75, 77], "rst": [28, 36, 57, 75], "hoe18": 28, "prefer": [28, 71, 72, 75, 80], "law": 28, "sluggish": 28, "growth": [28, 75, 87], "fqcobaltqueuedisc": 28, "fqcobaltflow": 28, "torvald": [28, 74, 75], "sched": [28, 39, 74], "pari": [28, 72], "franc": [28, 72, 79], "hoiland": 28, "j\u00f8rgensen": 28, "piec": 28, "comprehens": [28, 37, 79], "gatewai": [28, 35, 39, 43, 45, 54, 73, 79], "washington": 28, "dc": 28, "bluethreshold": 28, "400m": [28, 81], "trafficcontrolhelp": [28, 29, 30, 50, 62, 64, 65, 66], "tch": [28, 29, 30, 50, 62, 65, 66], "setrootqueuedisc": [28, 29, 30, 50, 62, 65, 66], "dropbatchs": [28, 29, 30], "perturb": [28, 29, 30], "queuedisccontain": [28, 29, 30, 50, 65], "fqcobaltqueuedisctestsuit": 28, "ns3tc": [28, 29, 30, 59], "hoe16": 29, "fight": 29, "effort": [29, 56, 63, 71, 75, 87, 88], "buf16": 29, "deficit": 29, "round": [29, 38, 40, 75, 82], "robin": [29, 38, 40, 82], "drr": 29, "distinguish": [29, 57, 65, 75], "stand": [29, 39, 42, 71, 75, 84], "iter": [29, 35, 39, 43, 58, 68, 75, 78, 84], "mq": [29, 65, 77], "fqcodelqueuedisc": [29, 50], "fqcodelflow": 29, "hash": [29, 30, 35, 65], "reduc": [29, 35, 36, 39, 43, 51, 60, 63, 66, 68, 72, 75, 84, 88], "fewer": 29, "lesser": 29, "vital": 29, "popular": 29, "setassociativehash": 29, "queuediscitem": [29, 35, 36, 64, 65, 67], "quantum": 29, "head": [29, 39, 58], "batch": [29, 40], "backlog": [29, 51, 75], "halv": [29, 75], "outer": [29, 39], "enumer": [29, 39], "inact": [29, 60, 87], "highlight": [29, 39, 43, 75], "neg": [29, 39, 42, 43, 62, 75], "fashion": [29, 39, 42, 43, 84], "noth": [29, 39, 56, 58, 84], "came": 29, "restart": [29, 51, 84], "fqcodeldrop": 29, "jenkin": 29, "salt": [29, 30], "prevent": [29, 36, 39, 43, 66, 68, 70, 73, 75, 80], "attack": 29, "ahead": [29, 55], "ect": [29, 67, 75], "notect": 29, "tunnel": [29, 39, 40, 41], "accecn": 29, "ramp": [29, 75], "suppress": [29, 84, 86, 88], "pie": [29, 77], "ingress": 29, "rememb": [29, 36, 43, 54, 73, 75], "egress": 29, "hoeiland": 29, "joergensen": 29, "mckennei": 29, "getti": 29, "fqcodelqueu": 29, "fat": [29, 30], "input": [29, 30, 31, 37, 38, 39, 42, 43, 58, 68, 71, 72, 73, 75, 79, 84], "enablesetassociativehash": [29, 30], "outcom": [29, 42, 75, 84], "bucket": [29, 39, 74], "distinct": [29, 36, 39, 42, 49, 50, 65, 71, 75, 81, 87], "initialis": 29, "setquantum": 29, "scenarionum": 29, "dat": [29, 79], "fqcodelqueuedisctestsuit": 29, "seventh": [29, 85], "eighth": 29, "matter": [29, 39, 58, 72, 81, 87], "ect0": 29, "overlap": [29, 39, 75, 79, 84, 87], "theoret": [29, 63, 72, 86], "replic": [29, 43], "ram19": 30, "proport": [30, 33, 38, 41, 43, 60], "fqpiequeuedisc": 30, "fqpieflow": 30, "difficulti": 30, "cablelabs14": 30, "departur": [30, 60, 72, 75], "cablelab": 30, "sfq": 30, "ratio": [30, 37, 39, 42, 43, 63, 75, 79, 81, 84, 88], "depth": [30, 63, 79, 84, 85], "ramakrishnan": [30, 67], "bhasi": 30, "saicharan": 30, "moni": 30, "patil": 30, "challeng": [30, 72], "44th": 30, "lcn": 30, "topic": [30, 39, 75, 88], "osnabrueck": 30, "germani": 30, "pp": [30, 37, 41, 49, 51, 60, 63, 75, 85], "117": [30, 40, 87], "124": [30, 87], "white": [30, 39, 41, 60, 72, 84], "docsi": 30, "fqpiequeu": 30, "copi": [30, 34, 35, 39, 52, 57, 71, 84, 86], "markecnthreshold": [30, 60], "8033": [30, 60], "meanpktsiz": [30, 60, 67], "roughli": [30, 34, 36, 37, 45, 75, 84], "tupdat": [30, 60], "supdat": [30, 60], "dequeuethreshold": [30, 60], "queuedelayrefer": [30, 60], "latenc": [30, 39, 75, 86], "maxburstallow": [30, 60], "usedequeuerateestim": [30, 60], "usecapdropadjust": [30, 60], "cap": [30, 37, 60, 75, 84], "usederandom": [30, 60], "derandom": [30, 60], "queuedisc": [30, 64, 65, 74], "setwai": 30, "fqpie": 30, "fqpiequeuedisctestsuit": 30, "project": [31, 39, 41, 49, 51, 57, 79, 81, 84], "tree": [31, 72], "wiki": [31, 57, 72, 74, 75, 84], "restructuredtext": 31, "sphinx": 31, "aodv": [31, 68, 81], "brite": 31, "dsdv": [31, 68, 81], "dsr": [31, 68, 81], "energi": [31, 37, 45, 84], "monitor": [31, 39, 42, 54, 79, 84], "person": [31, 70], "lr": 31, "wpan": 31, "wi": [31, 35, 45, 65, 79, 83, 84, 85, 86, 87], "fi": [31, 35, 45, 65, 79, 83, 84, 85, 86, 87], "mesh": [31, 35, 46, 84, 88], "olsr": [31, 68, 81], "openflow": 31, "6lowpan": [31, 37], "uan": 31, "wave": [31, 84], "notabl": [32, 36, 72], "daemon": 32, "encourag": [32, 34, 63, 68, 87], "therein": [32, 39], "clear": [32, 36, 37, 51, 79, 81, 84, 85, 86], "trick": 32, "56": [32, 42, 63, 87], "sender": [32, 37, 45, 54, 75, 80, 81], "dhcp": [32, 33], "2131": 32, "2132": 32, "ack": [32, 37, 39, 75, 79, 82, 87], "nack": [32, 39], "bootp": 32, "leas": 32, "53": [32, 49, 86, 87], "54": [32, 43, 45, 63, 86, 87], "58": [32, 37, 86, 87], "renew": 32, "59": [32, 49, 86, 87], "rebind": 32, "255": [32, 37, 43, 73], "61": [32, 87], "relai": [32, 68, 81], "congest": [33, 45, 65, 77], "ipv4queuediscitem": [33, 65], "6621": 33, "duplic": [33, 39, 45, 75, 80, 84], "neighborcach": 33, "auto": [33, 37, 85], "onlink": 33, "properti": [33, 39, 75, 85], "dad": 33, "ipv6queuediscitem": [33, 65], "troubleshoot": [33, 38, 52], "leakag": 33, "db8": 33, "listrout": [33, 34], "addroutingprotocol": 33, "rip": 33, "ripng": 33, "converg": [33, 41, 75], "horizon": [33, 72], "histori": [33, 72], "newreno": 33, "cubic": 33, "reno": 33, "highspe": 33, "hybla": 33, "westwood": 33, "vega": 33, "scalabl": [33, 40, 68, 72, 84, 85, 88], "veno": 33, "bic": 33, "yeah": 33, "illinoi": 33, "ledbat": 33, "dctcp": 33, "bbr": 33, "negoti": [33, 87], "3168": [33, 35, 36, 65], "pace": 33, "sack": 33, "classic": [33, 37, 56, 79, 84], "reduct": [33, 63, 66], "v4ping": 33, "ping6": 33, "radvd": [33, 36, 68], "dhcpv4": 33, "bunch": [34, 67], "internetstackhelp": [34, 36, 43, 54, 55, 65, 68, 78, 80], "m_ipv4en": 34, "ns_fatal_error": [34, 75], "internetstack": [34, 36, 87], "createandaggregateobjectfromtypeid": 34, "arpl3protocol": [34, 78], "icmpv4l4protocol": 34, "ipv4rout": [34, 68], "m_rout": 34, "setroutingprotocol": [34, 68], "m_ipv6en": 34, "ipv6l3protocol": [34, 36], "icmpv6l4protocol": [34, 36], "ipv6routingprotocol": [34, 68], "ipv6rout": 34, "m_routingv6": 34, "registerextens": 34, "registeropt": 34, "udpl4protocol": [34, 78, 80], "aggregateobject": [34, 68], "m_tcpfactori": 34, "packetsocketfactori": [34, 71, 78], "factori": [34, 36, 49, 71, 75, 80, 87], "settcp": 34, "tcpl4protocol": [34, 75], "ipv4staticroutinghelp": [34, 43, 55], "staticrout": [34, 55], "ipv4globalroutinghelp": [34, 68], "globalrout": [34, 68], "ipv4listroutinghelp": [34, 55], "ipv6listroutinghelp": 34, "listroutingv6": 34, "ipv6staticroutinghelp": 34, "staticroutingv6": 34, "setroutinghelp": [34, 54, 55], "augment": 34, "sit": [34, 78], "l3demux": 34, "lookup": [34, 39, 56, 68, 75], "know": [34, 35, 36, 39, 42, 43, 58, 63, 68, 84], "uint16_t": [34, 39, 43, 50, 58, 62, 65, 75, 80, 81], "packettyp": [34, 78], "signatur": [34, 43, 78], "addinterfac": [34, 78], "registr": [34, 58, 88], "registerprotocolhandl": 34, "makecallback": [34, 43, 71, 81], "ipv4protocol": 34, "prot_numb": 34, "m_node": 34, "saddr": 34, "daddr": 34, "nice": 34, "exploit": [34, 42, 51, 71, 75, 79, 84], "uint32_t": [34, 36, 43, 58, 66, 68, 71, 75, 81], "iif": 34, "ipv4l4protocol": 34, "demux": [34, 36], "ipv4interfac": [34, 35, 78], "struct": [34, 37, 39, 43, 58, 65], "in_devic": 34, "famili": 34, "mistak": 34, "forget": 34, "particularli": [34, 73, 84], "puzzl": 34, "overfil": 34, "setdefault": [34, 39, 43, 67, 75, 87], "arpcach": 34, "pendingqueues": 34, "max_burst_s": 34, "l2mtu": 34, "dual": [34, 65, 75, 79], "ipv6_v6onli": 34, "tie": 34, "udpsocketfactori": [34, 43, 71, 80, 87], "getnod": [34, 35, 36], "m_socket": 34, "socketfactori": [34, 75, 80], "createsocket": [34, 71, 75, 80], "m_local_address": 34, "queri": [34, 49, 68, 73, 75], "ipv4address": [34, 35, 43, 68, 71, 75, 80, 87], "ipv6address": [34, 36], "bind6": [34, 75, 80], "bindtonetdevic": [34, 75, 80], "summar": [34, 37, 39, 43, 58, 75, 84], "n_netdevic": 34, "rais": [34, 50, 58, 63, 84, 86], "udpsocket": [34, 80], "demultiplex": [34, 53, 70], "ipv4endpointdemux": 34, "ipv4endpoint": 34, "aris": [34, 39, 43, 65], "asynchron": [34, 39, 71], "setrecvcallback": [34, 71, 75, 80], "receiveddata": 34, "convei": [34, 41, 58], "packetsink": [34, 43], "handleread": 34, "udpimpl": 34, "udpsocketimpl": [34, 71, 80], "expos": [34, 39, 70, 80, 84], "princip": 34, "loopbacknetdevic": [35, 36], "loopback": [35, 36, 54, 55, 65, 80], "127": [35, 37, 55], "todai": [35, 72], "nat": 35, "privat": [35, 39, 43, 45, 52, 65, 73, 75, 88], "1918": 35, "172": [35, 87], "implic": [35, 36, 61, 65], "n0": [35, 36, 54, 71], "n1": [35, 36, 54], "ndc": [35, 36], "ns_log_info": [35, 36, 55, 75], "ipv4addresshelp": [35, 43, 78], "setbas": [35, 36, 43], "netmask": [35, 73], "ipv4interfacecontain": [35, 43, 81], "ic": [35, 36], "singleton": [35, 36, 68], "ipv4proto": 35, "int32_t": [35, 36, 65, 66], "ifindex": [35, 36], "getinterfacefordevic": [35, 36], "ipv4interfaceaddress": 35, "ipv4addr": 35, "42": [35, 36, 49, 79, 87], "addaddress": [35, 36], "dhcpserver": 35, "dhc": 35, "dhcpclient": 35, "dhcphelper": 35, "info": [35, 36, 39, 43, 72, 74, 75], "accessor": 35, "outbound": [35, 68, 75], "resolut": [35, 39, 43, 63, 79], "dead": 35, "m_txtrace": 35, "ipv4interfacelist": 35, "sendrealout": 35, "outgo": [35, 36, 75, 78], "fount": 35, "ttl": [35, 36, 58], "doforward": 35, "TOS": [35, 59, 71, 87], "14th": [35, 60], "15th": 35, "ecntyp": [35, 36], "enum": [35, 36, 43, 55, 56, 75, 87], "codepoint": [35, 36, 75], "ecn_notect": [35, 36], "ecn_ect1": [35, 36], "ecn_ect0": [35, 36], "ecn_c": [35, 36], "getter": [35, 36], "flood": [35, 56, 70], "dpd": 35, "enablerfc6621": 35, "duplicateexpir": 35, "eras": 35, "1m": [35, 39, 42, 43, 63, 75, 86, 87], "neighborcachehelp": [35, 36], "mesag": [35, 36], "focus": [35, 36, 37, 39, 42, 45, 76], "static_autogener": [35, 36], "partial": [35, 36, 39, 43, 70, 75], "rerun": [35, 36], "populateneighbor": [35, 36], "pick": [35, 36, 39, 49, 56, 73, 86], "date": [35, 36, 79], "populateneighborcach": [35, 36], "popul": [35, 36, 68], "icmpv6": 36, "autoconfigur": [36, 37], "prefix": [36, 39, 68, 73], "pmtu": 36, "impl": [36, 58], "ndisc": 36, "inet6": 36, "accessori": 36, "setipv6stackinstal": 36, "setipv4stackinstal": 36, "internetv4onli": 36, "internetv6onli": 36, "fe80": 36, "ipv6addresshelp": 36, "ipv6prefix": 36, "ipv6interfacecontain": 36, "ff": [36, 39, 42, 64, 81], "fe00": [36, 37], "ipv6proto": 36, "ipv6interfaceaddress": 36, "ipv6addr": 36, "f00d": [36, 39], "cafe": 36, "eas": [36, 58], "fine": [36, 70, 75, 84], "grain": [36, 75, 84], "radvdinterfac": 36, "acquir": [36, 39, 79, 88], "eui": 36, "slaac": [36, 37], "assignwithoutaddress": 36, "tmp": 36, "d1": [36, 75], "iic1": 36, "protect": [36, 39, 75, 80, 82], "privaci": 36, "haven": [36, 87], "reachabl": [36, 68], "ndp": 36, "assignwithoutonlink": 36, "reaction": 36, "incomplet": 36, "miss": [36, 39, 42, 75, 84], "shouldn": 36, "10th": [36, 43, 75], "11th": [36, 41], "unfortun": [36, 73, 79], "setforward": 36, "ipforward": [36, 78], "ipv6interfac": 36, "conveni": [36, 43, 58, 72], "solicit": [36, 84, 87], "ON": 36, "sysctl": [36, 75], "esoter": 36, "accept_ra": 36, "packet_too_big": 36, "internetv6": 36, "iic": 36, "setdefaultrouteinallnod": 36, "ipv6staticrout": [36, 68], "mtudiscov": 36, "1280": [36, 39, 70], "defaultttl": 36, "uint8_t": [36, 37, 43, 58, 71, 81], "sendicmpv6redirect": 36, "redirect": [36, 39, 73], "ndisccach": 36, "unresolvedqueues": 36, "na": [36, 38], "ipv6extens": 36, "confus": [36, 70], "bigger": [36, 39, 42, 75], "1981": 36, "label": [36, 39, 42, 43, 75], "minut": [36, 81], "peculiar": [36, 71], "pitfal": 36, "cost": [36, 41, 51, 63, 68], "firewal": 36, "3849": 36, "usabl": [36, 39, 63, 73], "pagebreak": 37, "standpoint": [37, 70], "grei": 37, "fig": [37, 39, 42, 43, 79], "std": [37, 39, 43, 58, 68, 75, 81, 85, 87], "nicola": 37, "baldo": [37, 41, 72, 85], "borrow": [37, 39, 58], "zheng": [37, 41], "lee": [37, 41], "idiom": [37, 73], "14": [37, 39, 41, 42, 59, 60, 75, 81, 85, 86, 87], "sap": [37, 38], "mcp": 37, "mlme": 37, "pd": [37, 82, 87], "plme": 37, "sec": [37, 39, 42, 63, 72], "srcaddrmod": 37, "dstaddrmod": 37, "dstpanid": 37, "dstaddr": 37, "msdulength": 37, "msdu": [37, 84, 87], "msduhandl": 37, "txoption": 37, "securitylevel": 37, "keyidmod": 37, "keysourc": 37, "keyindex": 37, "mcpsdatarequestparamet": 37, "m_srcaddrmod": 37, "m_dstaddrmod": 37, "lrwpanmac": 37, "mcpsdatarequest": 37, "param": [37, 39, 49, 58, 72], "confirm": [37, 39, 45, 70], "scan": [37, 84, 88], "beacon": [37, 45, 81, 84], "nofifi": 37, "comm": [37, 41], "sync": [37, 39, 42, 51, 75], "trx": 37, "unslot": 37, "ca": [37, 38, 42, 43, 85], "slot": [37, 39, 42, 45, 51, 79, 84, 87, 88], "indirect": 37, "gt": 37, "pan": [37, 60], "contiki": [37, 70], "nullmac": 37, "shut": 37, "stc": 37, "reject": [37, 39, 84], "sink": [37, 42, 43, 58, 64, 75, 80], "qualiti": [37, 39, 43, 56, 88], "who": [37, 39, 68, 72, 73, 75, 78, 79, 80, 81, 87, 88], "extract": [37, 39, 54, 65, 73, 75], "lqi": 37, "orphan": 37, "highest": [37, 39, 42, 62, 81, 84, 88], "poor": [37, 39, 43], "join": [37, 39, 42, 43, 73, 88], "procedur": [37, 38, 41, 42, 45, 67, 72, 81, 84], "2015": [37, 46, 75, 85], "fasta": 37, "dirti": [37, 58], "lrwpanhelp": 37, "associatetopan": 37, "associatetobeaconpan": 37, "preset": [37, 75], "relationship": [37, 39, 73, 75, 76, 84, 88], "candid": [37, 42, 84], "bootstrap": 37, "face": [37, 42, 43], "complex": [37, 39, 40, 42, 56, 68, 72, 79, 84, 87, 88], "iee": [37, 85], "zigbe": 37, "robustli": 37, "ind": [37, 39], "mactransactionpersistencetim": 37, "excess": [37, 75], "atmel": 37, "at86rf233": 37, "diagram": [37, 38, 43, 56, 72, 81, 84], "awgn": [37, 39, 84, 86], "oqpsk": 37, "ppdu": [37, 84, 87], "pib": 37, "spectral": [37, 39, 42, 72], "densiti": [37, 39, 63, 72], "nois": [37, 39, 42, 43, 63, 75, 79, 84, 86, 87, 88], "thermal": [37, 39, 84], "preambl": [37, 39, 42, 43, 72, 84], "sfd": 37, "snr": [37, 42, 63, 72, 84, 86, 88], "appendix": [37, 86], "interfer": [37, 38, 41, 43, 63, 79, 82, 84, 87], "sensit": [37, 42, 75, 84, 87], "106": [37, 84, 87], "psdu": [37, 84], "lrwpannetdevic": [37, 70], "lrwpan": 37, "contrari": 37, "worldwid": 37, "relav": 37, "panid": [37, 70], "tri": [37, 39, 43, 53, 71, 75, 84], "sake": [37, 39, 42, 43, 75], "stateless": 37, "4944": [37, 70], "6282": [37, 70], "mandat": 37, "iid": 37, "yyyi": 37, "00ff": 37, "xxxx": 37, "0000": 37, "flip": 37, "interoper": [37, 39, 42], "unwant": [37, 43], "getaddress": [37, 43, 81], "mac64address": [37, 70], "pseudomacaddressmod": 37, "compress": [37, 39, 84, 87], "hc1": [37, 70], "iphc": [37, 70], "defint": 37, "mix": [37, 39, 63, 84], "sixlowpan": 37, "pic": 37, "proforma": 37, "emphasi": 37, "thoroughli": [37, 42], "250kbp": 37, "qpsk": [37, 39], "disassoci": 37, "secur": [37, 39, 41, 45, 56, 81], "societi": 37, "septemb": [37, 46, 85], "myung": 37, "press": 37, "intersci": 37, "218": 37, "237": 37, "gallego": 37, "ramonet": 37, "taku": 37, "noguchi": 37, "2020": [37, 72, 85], "6th": [37, 43], "iccip": 37, "machineri": 37, "york": [37, 75], "ny": [37, 75], "115": [37, 87], "122": [37, 87], "3442555": 37, "3442574": 37, "exemplifi": 37, "logdistancepropagationlossmodel": [37, 87], "datarequest": 37, "assess": [37, 40, 41, 66, 84, 85], "dataind": 37, "peer": [37, 39, 43, 44, 71, 75, 81, 88], "psr": 37, "logdist": 37, "vari": [37, 39, 42, 65, 75, 79, 87], "plt": [37, 86], "gnuplot": [37, 40, 43, 72, 79, 86], "yield": [37, 39, 86], "ep": [37, 39, 42, 43, 86], "trailer": [37, 58], "ifs": 37, "intrafram": 37, "IFS": 37, "csmaca": 37, "defer": [37, 81], "reproduc": [37, 40, 75, 79, 86], "epc": 38, "fade": [38, 41, 42, 81, 84], "subfram": [38, 42, 43, 88], "cqi": [38, 42], "miesm": 38, "bler": [38, 42], "curv": [38, 42, 75, 79, 84, 86], "pcfich": [38, 41, 42], "pdcch": [38, 41, 42], "mimo": [38, 41, 72, 84, 86, 87], "harq": 38, "femtoforum": [38, 41, 42], "rr": [38, 42, 43], "fair": [38, 41, 43, 75, 84], "pf": [38, 42, 43], "tta": [38, 42, 43], "blind": 38, "token": [38, 41, 43, 74], "bank": [38, 41, 42], "qo": [38, 41, 43, 45, 50, 58, 74, 81, 84, 87, 88], "rlc": [38, 40, 41, 43], "am": [38, 42], "downlink": [38, 41, 43, 72, 84, 87, 88], "uplink": [38, 72, 84, 87], "concaten": [38, 42, 52, 75, 88], "um": [38, 40, 42], "tm": 38, "sm": [38, 40, 42, 43], "pdcp": [38, 40, 41, 42, 43], "rrc": [38, 41, 43], "search": [38, 54, 58, 68, 75, 88], "admiss": 38, "bearer": [38, 43], "rlf": [38, 42, 43], "action": [38, 43, 56, 72, 75, 78, 81], "handov": [38, 41], "op": [38, 43], "a2": [38, 42, 43], "a4": [38, 42, 43], "rsrq": [38, 42, 43], "strongest": [38, 42, 43], "neighbour": [38, 42, 43, 45, 79], "role": [38, 42, 59, 75, 84, 88], "anr": 38, "asn": 38, "ie": [38, 45, 75], "asn1head": 38, "rrcasn1head": 38, "s1": [38, 41, 43], "s5": 38, "s11": 38, "s1ap": [38, 41], "x2": [38, 41, 49], "son": [38, 41], "pusch": [38, 42, 43], "pucch": [38, 42, 43], "sr": [38, 42, 43], "reus": [38, 41, 49, 58, 75, 80, 81, 82, 85, 87], "fr": [38, 43], "hard": [38, 40, 42, 65, 84], "strict": [38, 42, 62, 88], "soft": [38, 42], "impact": [38, 40, 43], "enodeb": [38, 40, 42, 43], "ccm": [38, 43], "background": [38, 75, 79, 82, 87], "amc": [38, 39, 42], "evolv": [38, 39, 41, 84], "backhaul": [38, 39], "subscrib": [38, 39, 88], "campaign": [38, 39, 72], "tip": [38, 39], "sinr": [38, 39, 43, 79, 84], "absolut": [38, 39, 43], "earfcn": [38, 39], "piecewis": 38, "secondari": [38, 39, 43, 75, 79, 84], "gtp": [38, 39, 40, 43], "tft": [38, 39, 43], "perl": 38, "equip": [38, 39, 41, 63, 79, 84, 87], "entiti": [39, 40, 42, 43, 68, 81, 84, 88], "resid": [39, 75, 87], "sgw": [39, 40, 42, 43], "pgw": [39, 40, 42, 43], "mme": 39, "granular": 39, "rb": [39, 42, 43], "fundament": [39, 57, 58, 72, 88], "interf": [39, 42, 72, 79, 84], "ten": [39, 60], "hundr": 39, "bandwidth": [39, 42, 43, 72, 74, 75, 79, 81, 84, 88], "licens": 39, "ofcom2600mhz": [39, 41], "realwireless": [39, 41], "publish": [39, 45, 72, 75, 84, 85, 88], "ffapi": [39, 41], "femtocel": [39, 43], "manufactur": [39, 88], "rrm": 39, "binari": [39, 54, 75, 87], "interpos": [39, 42], "languag": [39, 65], "shall": [39, 50, 63, 65, 72, 81, 84], "pdn": 39, "But": [39, 42, 43, 54, 58, 74, 81], "realiz": [39, 46, 79], "ecm": 39, "procotol": 39, "sctp": 39, "spectrumchannel": [39, 72, 87], "singlemodelspectrumchannel": [39, 72], "multimodelspectrumchannel": [39, 72, 84], "mb": [39, 40, 75, 86, 88], "pico": 39, "gsoc": [39, 43], "2010": [39, 41, 81, 85, 88], "piro2011": [39, 41, 43], "trade": 39, "occup": [39, 75], "doppler": [39, 43, 63, 72, 79], "rayleighchan": 39, "matlab": [39, 41, 43, 72, 84, 86], "mathwork": [39, 41, 72], "impuls": [39, 72, 79], "tti": [39, 42, 43], "subsequ": [39, 42, 58, 63, 71, 75, 86], "correl": [39, 63], "pwelch": 39, "annex": [39, 43, 81], "ts36104": [39, 41, 43], "kmph": [39, 43], "pedestrian": [39, 43], "60": [39, 43, 49, 63, 70, 87, 88], "s_": 39, "t_": [39, 42], "float": [39, 42, 49, 75], "rb_": 39, "num": [39, 42], "Being": [39, 80], "modif": [39, 56, 58, 70, 75, 81, 84], "ts36211": [39, 41], "dci": [39, 42, 43], "millisecond": [39, 43, 75], "region": [39, 58, 63, 72, 87], "pdsch": 39, "valuabl": 39, "boost": 39, "sound": [39, 79], "ts36213": [39, 41, 42], "wideband": [39, 84], "inband": 39, "ctrl": 39, "ltehelp": [39, 42, 43], "usepdschforcqigener": 39, "ulcqifilt": 39, "srs_ul_cqi": 39, "pusch_ul_cqi": 39, "ffmacschedul": [39, 43], "gaussian": [39, 63, 72, 79, 84], "ts36101": [39, 41, 42], "f_c": 39, "khz": 39, "raster": 39, "spectrummodel": [39, 72, 84], "baldo2009": [39, 41], "lsm": 39, "ofdma": [39, 41, 84, 85, 86, 87, 88], "thank": [39, 43, 63, 75, 79], "accuraci": [39, 42, 51, 63, 72, 79, 81], "perspect": [39, 42, 53, 57, 58, 73, 88], "color": [39, 84], "phenomena": [39, 68, 72], "vienna": [39, 41], "viennaltesim": [39, 41], "mutual": [39, 41, 63], "signet": 39, "padua": 39, "paduapem": [39, 41], "mib": [39, 42], "mmib": 39, "esm": 39, "eesm": 39, "outperform": 39, "lozanocost": [39, 41], "mi": [39, 42], "constel": 39, "tb": [39, 41, 42, 43, 84, 87], "subcarri": [39, 84, 88], "addiv": 39, "cb": [39, 42], "turbo": 39, "ts36212": [39, 41], "k_": [39, 63], "tbler": 39, "prod": 39, "limits_": 39, "cbler_i": 39, "wimaxemd": [39, 41], "cumul": [39, 75, 81], "erf": 39, "b_": 39, "ecr": 39, "c_": [39, 63], "mc": [39, 41, 42, 43, 84, 86], "5076": 39, "27": [39, 40, 42, 49, 79, 81, 86, 87], "mcss": [39, 43, 84], "188": 39, "140": [39, 43, 63, 87], "160": [39, 87], "512": [39, 40], "2048": 39, "4032": 39, "6144": 39, "worst": 39, "unbalanc": 39, "ann": 39, "104": [39, 41, 49, 87], "dash": [39, 84], "21": [39, 41, 42, 43, 84, 87], "23": [39, 41, 42, 43, 45, 86, 87], "26": [39, 42, 63, 79, 84, 86, 87], "29": [39, 41, 42, 58, 63, 86, 87], "ltespectrumphi": [39, 43], "ltemierrormodel": 39, "perceiv": [39, 42], "ltechunkprocessor": [39, 42], "ulsch": 39, "dataerrormodelen": [39, 43], "ran4": 39, "demodul": [39, 41], "decodif": [39, 42], "interpret": [39, 61, 70, 71], "fujitsuwhitepap": [39, 41], "degrad": [39, 43, 45, 81, 86], "femto": [39, 41], "hetnet": 39, "bottleneck": [39, 51, 67, 75], "bharucha2011": [39, 41], "collid": [39, 45, 84], "imposs": [39, 56, 79], "esinr": 39, "invert": 39, "081920": [39, 41], "year": [39, 45, 72, 75, 79], "concentr": 39, "someon": [39, 68], "catreuxmimo": [39, 41, 43], "siso": [39, 43], "cdf": 39, "alamouti": 39, "mmse": 39, "osic": 39, "zf": 39, "elabor": 39, "ts36214": [39, 41], "rsrp": [39, 42, 43], "former": [39, 63], "synchron": [39, 43, 45, 51, 71, 81, 84, 88], "pss": [39, 42, 43], "504": 39, "nearbi": 39, "65535": [39, 87], "therebi": 39, "ts36133": [39, 41, 43], "uemeasurementsparamet": 39, "ts36331": [39, 41, 43], "lteuephi": [39, 43], "uemeasurementsfilterperiod": 39, "finest": 39, "sum_": [39, 42], "psd": [39, 42, 72], "lteinterferencepowerchunkprocessor": 39, "psd_": 39, "180000": 39, "hz": [39, 63, 72, 81, 84], "rssi": [39, 84], "rsrp_i": 39, "p_i": 39, "rssi_i": 39, "rssi_j": 39, "i_j": 39, "p_j": 39, "n_j": 39, "rsrq_i": 39, "coincid": [39, 72], "rsrquemeasthreshold": 39, "redund": [39, 43, 75], "ir": [39, 63], "rrffmacschedul": [39, 43], "pfffmacschedul": [39, 43], "lteharqphi": 39, "ul": [39, 40, 42, 43, 84, 86, 87, 88], "ref": [39, 42, 58, 72], "schedultriggerreq": 39, "erron": [39, 42, 84, 88], "16qam": 39, "64qam": 39, "matcher": 39, "_": [39, 43, 63], "r_": [39, 42, 63], "eff": 39, "c_i": 39, "m_i": [39, 42], "mi_": 39, "closest": 39, "downturn": 39, "pariti": 39, "codeword": 39, "devot": [39, 84], "dlinfolistel": 39, "ulinfolistel": 39, "phich": 39, "sketch": 39, "inhibit": 39, "backward": 39, "clarifi": [39, 87], "bitmap": [39, 87], "sesia2009": [39, 41], "rbg": [39, 42, 43], "divisor": 39, "adjac": [39, 40, 42, 43, 72, 79, 84], "fdma": 39, "inspir": [39, 75], "seo2004": [39, 41], "gamma_i": 39, "eta_i": [39, 75], "equat": [39, 42, 63, 75, 79, 84], "ber": [39, 42, 43, 84, 86, 88], "00005": [39, 42, 43], "gamma": [39, 42, 63, 75], "ln": 39, "log_2": 39, "_i": [39, 42], "r1": [39, 41, 42], "081483": [39, 41, 42], "quantiz": [39, 42], "discrep": 39, "31": [39, 41, 42, 49, 75, 86], "subband": [39, 42, 43, 72], "packetburst": [39, 88], "sdu": [39, 42], "lteradiobearertag": 39, "csched": 39, "req": 39, "cnf": 39, "ffmacschedsapprovid": 39, "ffmacschedsapus": 39, "ffmaccschedsapprovid": 39, "ffmaccschedsapus": 39, "principl": [39, 41, 63], "camelcas": 39, "csched_cell_config_req": 39, "cschedcellconfigreq": 39, "member": [39, 42, 43, 49, 52, 65, 71, 75, 79], "discourag": 39, "destructor": 39, "union": 39, "said": [39, 75, 83], "simplest": [39, 73, 84], "opportun": [39, 42, 88], "harqen": 39, "widehat": 39, "underset": 39, "operatornam": 39, "argmax": 39, "througput": 39, "_j": 39, "min_": 39, "cdot": [39, 63, 75], "cardin": 39, "fcapo2012": [39, 41], "maxim": [39, 42, 43, 58], "fdmt": [39, 42], "tdmt": [39, 42], "intermedi": [39, 54, 88], "meanwhil": 39, "td": [39, 41, 42, 43], "bet": [39, 42, 43], "pastaveragethroughput": 39, "smallest": [39, 63], "behind": [39, 40, 42, 43, 72, 75, 84], "tbfq": [39, 42, 43], "leaki": 39, "character": [39, 63], "p_": [39, 42], "e_": 39, "balanc": [39, 42, 75], "withdraw": 39, "obvious": [39, 43, 78], "suffer": [39, 42], "polic": [39, 42, 65, 78], "debt": [39, 43], "d_": [39, 63], "malici": 39, "credit": [39, 43], "fabokhari2009": [39, 41, 43], "wkwong2004": [39, 41], "gmonghal2008": [39, 41], "tbr": [39, 42, 43], "mux": 39, "pfsch": [39, 42, 43], "msch": 39, "tsch_": 39, "coita": [39, 42, 43], "mcoi": 39, "coi": [39, 42], "th": [39, 42, 62, 65, 72], "decoupl": [39, 79], "product": [39, 75, 79], "tend": 39, "cqa": [39, 42], "bbojovic2014": [39, 41, 42], "hol": 39, "gbr": [39, 42, 43], "joint": [39, 75], "enforc": [39, 75], "lceil": [39, 42], "rceil": [39, 42], "overlin": [39, 42], "le": [39, 63], "le1": 39, "r_e": 39, "propos": [39, 41, 45, 46, 75, 79, 84, 88], "cqaffmacschedul": 39, "cqametr": 39, "cqapf": 39, "cqaff": 39, "ts36321": [39, 41], "zadoff": 39, "chu": 39, "zc": 39, "prach": 39, "ltecontrolmessag": 39, "rar": [39, 43], "sch": [39, 81], "lteenbmac": [39, 42, 43], "sched_dl_rach_info_req": 39, "cr": [39, 42], "spite": [39, 42], "ts36322": [39, 41], "compris": [39, 42, 72, 75, 84], "unacknowledg": [39, 75], "rlcsapprovid": 39, "rlcsapus": 39, "transmitpdcppdu": 39, "receivepdcppdu": 39, "macsapprovid": 39, "macsapus": 39, "transmitpdu": 39, "reportbufferstatu": 39, "notifytxopportun": 39, "receivepdu": 39, "lterlc": 39, "txdrop": 39, "transmit_pdcp_pdu": 39, "report_buffer_statu": 39, "scheddlrlcbufferreq": 39, "notify_tx_opportun": 39, "transmit_pdu": 39, "air": [39, 43, 72], "unneed": 39, "ineffici": 39, "philosophi": 39, "big": [39, 74], "motiv": [39, 42, 43], "unrealist": 39, "meaning": [39, 43, 84], "ts36323": [39, 41], "sn": 39, "decompress": [39, 70], "rohc": 39, "elimin": [39, 43, 87], "cipher": 39, "deciph": 39, "verif": [39, 44, 74], "pdcpsapprovid": 39, "pdcpsapus": 39, "transmitpdcpsdu": 39, "receivepdcpsdu": 39, "lteuerrc": [39, 43], "lteenbrrc": [39, 43], "lteuerrcsapprovid": 39, "lteuerrcsapus": 39, "lteenbrrcsapprovid": 39, "lteenbrrcsapus": 39, "elmen": 39, "lteuerrcprotocolid": 39, "lteenbrrcprotocolid": 39, "lteuerrcprotocolr": 39, "lteenbrrcprotocolr": 39, "transient": [39, 42], "connected_norm": [39, 42], "uemanag": 39, "camp": [39, 40, 49], "succe": [39, 75, 80], "ts36300": [39, 41], "ts36304": [39, 41], "plmn": 39, "bar": [39, 84], "reselect": 39, "csg": [39, 42, 43], "surround": [39, 49], "strength": [39, 43, 63, 87], "72": [39, 87], "cphy": 39, "inspect": 39, "nevertheless": [39, 75], "predefin": [39, 42, 43, 45, 65, 75], "sib1": 39, "middl": [39, 63, 84], "sib2": [39, 42, 43], "rach": [39, 42, 43], "80": [39, 43, 49, 58, 68, 75, 80, 86, 87], "systeminformationperiod": 39, "lifecycl": 39, "And": [39, 43, 81, 87], "criterion": [39, 42, 43], "q_": 39, "rxlevmea": 39, "rxlevmin": 39, "idle_camped_norm": 39, "admitrrcconnectionrequest": 39, "lcg": 39, "guidelin": [39, 42, 75], "stratum": 39, "categori": [39, 42, 45, 79, 81, 82, 84], "ffr": [39, 42, 43], "doconfigureradiolinkfailuredetect": 39, "timersandconst": 39, "donotifyconnectionsuccess": 39, "qout": 39, "5db": 39, "radiolinkfailuredetect": 39, "hypothet": 39, "200m": [39, 81], "donotifyoutofsync": 39, "unsuccessfulli": 39, "n310": [39, 42, 43], "t310": [39, 42, 43], "dostartinsnycdetect": 39, "qin": 39, "8db": 39, "donotifyinsync": 39, "expiri": 39, "n311": [39, 43], "connected_phy_problem": 39, "delet": [39, 42, 81, 87], "restor": 39, "m_bearerstobeactivatedlistforreconnect": 39, "epcuena": 39, "idle_start": 39, "evaluatecellforselect": 39, "workaround": 39, "doconnect": 39, "idle_wait_sib2": 39, "intra": [39, 42, 43, 45, 75], "gap": [39, 43, 79], "b1": 39, "b2": 39, "reportstrongestcel": 39, "reportcgi": 39, "reportstrongestcellsforson": 39, "a6": 39, "applymeasconfig": 39, "doreportuemeasur": 39, "measurementreporttrigg": 39, "sendmeasurementreport": 39, "measconfig": 39, "egci": 39, "intermediari": [39, 56, 73], "f_n": 39, "f_": 39, "m_n": 39, "f_0": 39, "m_1": [39, 63], "filtercoeffic": 39, "quantityconfig": 39, "rsrpfiltercoeffici": 39, "rsrqfiltercoeffici": 39, "influenc": [39, 46, 75, 85], "fulfil": [39, 43], "triggertyp": 39, "a1": [39, 42, 43], "wors": [39, 43, 63], "a3": [39, 42, 43], "a5": [39, 42], "threshold1": [39, 43], "AND": 39, "threshold2": 39, "hysteresi": [39, 42, 43], "hy": 39, "reportinterv": [39, 43], "lterrcsap": [39, 43], "reportconfigeutra": [39, 43], "black": 39, "o_": 39, "cp": [39, 88], "submiss": 39, "reportamount": 39, "reportquant": 39, "triggerquant": [39, 43], "eutran": 39, "sendhandoverrequest": 39, "closer": [39, 43, 71], "overse": 39, "adduemeasreportconfigforhandov": 39, "reportuemea": 39, "submit": [39, 72], "triggerhandov": 39, "examin": [39, 75], "necessarili": [39, 50, 65], "declar": [39, 43, 68, 75, 80, 81, 87], "commenc": 39, "measid": [39, 43], "differenti": [39, 71], "ltehandoveralgorithm": 39, "superclass": 39, "outlin": [39, 57], "noophandoveralgorithm": [39, 43], "lena": [39, 40, 41], "m6": 39, "a2a4rsrqhandoveralgorithm": [39, 43], "Their": [39, 72, 84], "experienc": [39, 42, 63, 75], "benefit": [39, 68, 87], "servingcellthreshold": [39, 43], "neighbourcelloffset": [39, 43], "integ": [39, 42, 43, 49, 51, 53, 58, 70, 71, 88], "34": [39, 63, 75, 86], "budget": [39, 42], "pbgt": 39, "dimou2009": [39, 41], "stronger": [39, 42], "a3rsrphandoveralgorithm": [39, 43], "vulner": 39, "pong": 39, "tackl": [39, 43], "margin": [39, 41], "128": [39, 78, 87], "320": 39, "480": [39, 42], "640": [39, 42], "2560": 39, "5120": 39, "border": [39, 42], "timetotrigg": [39, 43], "lteanr": 39, "nrt": 39, "2a": 39, "nr": [39, 63], "ho": 39, "addneighbourrel": 39, "despit": [39, 58, 65, 75], "getnoremov": 39, "getnoho": 39, "getnox2": 39, "adduemeasreportconfigforanr": 39, "lteanrsap": 39, "anren": 39, "t300": 39, "connestfailcount": 39, "qoffset_": 39, "temp": 39, "msg": 39, "rachconfigcommon": 39, "invalid": [39, 54, 63, 68], "prev": 39, "mobilitycontrolinfo": 39, "occas": [39, 43], "configded": 39, "anticip": 39, "subject": [39, 42], "srb0": 39, "ccch": 39, "rrcconnectionrequest": 39, "rnti": [39, 42, 43], "rrcconnectionsetup": 39, "sched_dl_rlc_buffer_req": 39, "lcid": [39, 42], "srb1": 39, "dcch": 39, "rrcconnectioncomplet": 39, "srb2": 39, "piggyback": 39, "wherea": [39, 42, 75, 81, 84, 88], "unalign": 39, "deseri": [39, 58, 80], "pack": [39, 79], "691": 39, "constrain": 39, "bitstr": 39, "bistr": 39, "octetstr": 39, "marker": 39, "Of": [39, 41, 63, 73, 87, 88], "clearer": 39, "retriev": [39, 42, 51, 63, 65, 72, 78, 79], "preseri": 39, "m_serializationresult": 39, "m_serializationpendingbit": 39, "srbtoaddmodlist": 39, "drbtoaddmodlist": 39, "logicalchannelconfig": 39, "radioresourceconfigded": 39, "physicalconfigded": 39, "systeminformationblocktype1": 39, "systeminformationblocktype2": 39, "radioresourceconfigcommonsib": 39, "rrcconnectionsetupcomplet": 39, "rrcconnectionreconfigur": [39, 42], "rrcconnectionreconfigurationcomplet": [39, 42], "handoverpreparationinfo": 39, "rrcconnectionreestablishmentrequest": 39, "rrcconnectionreestablish": 39, "rrcconnectionreestablishmentcomplet": 39, "rrcconnectionreestablishmentreject": 39, "rrcconnectionreleas": 39, "emm": 39, "gross": 39, "tftclassifi": 39, "7777": 39, "explan": [39, 67], "gi": 39, "terminologi": [39, 65, 75], "Such": [39, 65, 67, 68, 84], "epcpgwappl": [39, 43], "teid": 39, "outermost": 39, "epcsgwappl": [39, 43], "epcenbappl": [39, 42, 43], "bid": 39, "epsbearertag": 39, "lteenbnetdevic": [39, 43], "outmost": 39, "lteuenetdevic": [39, 43], "rbid": [39, 43], "perfom": 39, "overprovis": 39, "ap": [39, 81, 84, 87], "ts36413": [39, 41], "gpr": [39, 41], "gtpv2": [39, 41], "ts29274": [39, 41], "ts36420": [39, 41], "elementari": 39, "ts36423": [39, 41], "seamless": 39, "lossless": 39, "shade": 39, "handoverleavingtimeoutdur": 39, "handoverjoiningtimeoutdur": 39, "unexpect": 39, "submodul": 39, "epcx2sapprovid": 39, "epcx2sapus": 39, "eniti": 39, "prepar": [39, 75, 87], "stransfer": 39, "self": [39, 72, 84, 85], "epcx2sap": 39, "ulinterferenceoverloadindicationitem": 39, "m_currentulinterferenceoverloadindicationlist": 39, "ulhighinterferenceinformationitem": 39, "m_currentulhighinterferenceinformationlist": 39, "relativenarrowbandtxband": 39, "m_currentrelativenarrowbandtxband": 39, "consult": [39, 41, 43, 55, 57, 67, 68, 84, 88], "423": [39, 41, 78], "cellinformationitem": 39, "cii": 39, "sourcecellid": 39, "m_cellid": 39, "ulinterferenceoverloadindicationlist": 39, "ulhighinterferenceinformationlist": 39, "loadinformationparam": 39, "targetcellid": [39, 43], "cellid": [39, 42, 43], "cellinformationlist": 39, "push_back": [39, 43], "m_x2sapprovid": 39, "sendloadinform": 39, "dorecvloadinform": 39, "cellmeasurementresultitem": 39, "m_cmri": 39, "resourcestatusupdateparam": 39, "cellmeasurementresultlist": 39, "sendresourcestatusupd": 39, "eenbrrc": 39, "dorecvresourcestatusupd": 39, "p_a": [39, 42], "setpa": 39, "cphysap": 39, "m_pamap": 39, "lteenbphi": [39, 43], "generatepowerallocationmap": 39, "m_dlpowerallocationmap": 39, "createtxpowerspectraldensitywithpoweralloc": 39, "spectrumvalu": 39, "txpsd": [39, 42], "pdschconfigded": [39, 42, 43], "331": [39, 41], "213": [39, 41, 42, 43, 87], "enableuplinkpowercontrol": [39, 43], "tpc": [39, 42, 43], "lteuepowercontrol": [39, 43], "closedloop": [39, 43], "txpower": [39, 43, 86, 88], "accumulationen": [39, 43], "bmatrix": 39, "cmax": 39, "_pusch": 39, "alpha_": 39, "pl_": 39, "delta_": 39, "tf": 39, "3a": 39, "_nomin": 39, "_ue": 39, "ponominalpusch": [39, 43], "integervalu": [39, 43], "90": [39, 43, 58, 63, 86], "pouepusch": [39, 43], "alpha_c": 39, "referencesignalpow": [39, 43], "bpre": 39, "beta_": 39, "epdcch": 39, "ts36": [39, 42, 43], "231": [39, 63], "_offset": 39, "semi": 39, "p_srs_offset_valu": [39, 43], "psrsoffset": [39, 43], "ashamza2013": [39, 41], "ltefrnoopalgorithm": [39, 43], "ltefrhardalgorithm": [39, 43], "ltefrstrictalgorithm": [39, 43], "ltefrsoftalgorithm": [39, 43], "lteffrsoftalgorithm": [39, 43], "lteffrenhancedalgorithm": [39, 43], "lteffrdistributedalgorithm": [39, 43], "lteffralgorithm": 39, "noop": [39, 43], "partit": 39, "frf": 39, "peak": [39, 42, 43, 75], "heavi": [39, 67, 75, 88], "greatli": 39, "fralgorithm": 39, "disjoint": 39, "significantli": [39, 42, 43], "ici": 39, "interior": 39, "chunk": [39, 42, 58, 75, 84], "rfr": 39, "m_privatesubbandu": 39, "m_commonsubbandu": 39, "rrb": 39, "radiu": [39, 72], "sfr": 39, "centr": [39, 40, 63], "m_lowpowersubbandu": 39, "m_highpowersubbandu": 39, "inner": 39, "sffr": [39, 43], "effr": [39, 43], "zxie2009": [39, 41], "subchannel": [39, 84, 87], "constitut": 39, "exclus": [39, 43], "guest": 39, "conform": [39, 74, 84], "dkimura2012": [39, 41], "adjec": [39, 43], "w_": 39, "rntp": [39, 43], "strong": [39, 84], "ascend": 39, "meaningless": 39, "oscil": [39, 63], "r10": 39, "tdd": [39, 88], "coverag": [39, 43], "pcc": 39, "atbc": 39, "leq": [39, 63], "r8": 39, "r9": 39, "scc": 39, "schemat": 39, "inquiri": 39, "scell": 39, "scelltoaddmodlist": 39, "radioresourceconfigcommonscel": 39, "radioresourceconfigdedicatedscel": 39, "physicalconfigdedicatedscel": 39, "noncriticalextensionconfigur": 39, "3b": 39, "scelltoaddmodelist": 39, "scelltoreleaselist": 39, "measresultscel": 39, "ltemacsapprovid": [39, 42], "lteenbcomponentcarriermanag": 39, "cif": 39, "minor": [39, 66], "unchang": 39, "csi": 39, "installsingleenbdevic": 39, "installsingleuedevic": 39, "formerli": 39, "migrat": [39, 84, 88], "componentcarri": 39, "componentcarrierenb": 39, "franction": 39, "componentcarrieru": 39, "m_dlearfcn": 39, "unnecessari": 39, "ltemacsapus": 39, "bsr": 39, "lteuecomponentcarriermanag": 39, "macsapuserprovid": 39, "remap": 39, "lteulccmrrcsapus": 39, "lteulccmrrcsapprovid": 39, "cchelper": 39, "lteccmmacsapus": 39, "lteccmmacsapprovid": 39, "prb": 39, "lteccmrrcsapprovid": 39, "lteccmrrcsapus": 39, "noopcomponentcarriermanag": [39, 43], "rrcomponentcarriermanag": [39, 43], "dive": 39, "epchelp": [39, 43], "deleg": [39, 67, 68, 75, 81], "lteenbcomponentcarriermap": 39, "lteenbcomponentcarri": 39, "lteuecomponentcarriermap": 39, "alon": [39, 42, 43, 75], "slave": 39, "hood": 39, "broad": 40, "emploi": [40, 42, 65, 75, 88], "scatter": [40, 63, 72, 84], "buildingpropagationmodel": [40, 43], "disk": 40, "simtim": [40, 43], "nue": 40, "nenb": [40, 43], "frii": [40, 42, 63, 81, 86, 87], "storei": 40, "tracedirectori": 40, "numberofnod": 40, "autom": [40, 75], "csv": 40, "ltetim": 40, "epctim": 40, "sweep": 40, "cpan": 40, "captureoutput": 40, "mcpan": 40, "modulenam": 40, "pentium": 40, "iv": 40, "ram": 40, "41": [40, 43, 49, 86, 87], "170": 40, "cxxflag": 40, "o3": 40, "changeset": 40, "2c5b0d697717": 40, "plant": 40, "linearli": [40, 75], "twice": 40, "coher": [40, 72, 79], "slope": [40, 79, 86], "massif": 40, "e8b3ccdf6673": 40, "rational": [40, 58, 71], "evolut": [40, 41, 49, 63, 68, 75], "evid": 40, "ts25814": 41, "814": 41, "terrestri": [41, 72], "274": [41, 49], "133": [41, 42, 87], "211": [41, 87], "212": 41, "214": 41, "304": [41, 49], "321": [41, 79], "322": 41, "323": 41, "413": 41, "420": 41, "x2ap": 41, "tr36814": [41, 43], "ns3tutori": [41, 43], "ns3manual": [41, 43], "sesia": 41, "toufik": 41, "baker": [41, 60], "umt": 41, "miozzo": [41, 72], "nstool": [41, 72], "pisa": [41, 72], "itali": [41, 72], "piro2010": 41, "giusepp": 41, "piro": [41, 88], "luigi": [41, 51], "alfredo": 41, "grieco": [41, 88], "gennaro": 41, "boggia": 41, "pietro": 41, "camarda": 41, "european": [41, 63, 72, 79, 81], "ew2010": 41, "lucca": 41, "apr": 41, "holtzman2000": [41, 42], "holtzman": 41, "waterfil": 41, "vtc": [41, 63], "spring": 41, "simutool": [41, 46, 85, 88], "seo": 41, "multius": 41, "globecom": 41, "decemb": 41, "2004": [41, 85, 88], "dalla": 41, "ofcom": 41, "competit": 41, "award": 41, "800": [41, 49, 87], "broadband": [41, 88], "073": 41, "18th": 41, "joan": 41, "olmo": 41, "silvia": 41, "ruiz": 41, "mario": 41, "garc\u00eda": 41, "lozano": 41, "david": 41, "mart\u00edn": 41, "sacrist\u00e1n": 41, "2100": 41, "11052": 41, "forum": [41, 81, 88], "r2011b": 41, "toolbox": [41, 72, 84], "multipath": [41, 63, 68, 75, 88], "catreux": 41, "greenstein": 41, "erceg": [41, 85], "insight": 41, "journal": [41, 51, 79, 85], "839": 41, "847": 41, "june": [41, 51, 85], "ikuno2010": 41, "ikuno": 41, "wrulich": 41, "rupp": 41, "71st": 41, "milos2012": 41, "milo": 41, "19th": 41, "student": 41, "eeict": 41, "brno": 41, "cz": 41, "icic": 41, "bharucha": 41, "auer": 41, "miki": 41, "mitig": 41, "manipul": [41, 65], "sept": 41, "capozzi": 41, "survei": [41, 49], "jun": 41, "bokhari": 41, "yanikomeroglu": 41, "wong": [41, 85], "rahman": 41, "4g": 41, "eurasip": 41, "wirel": 41, "netw": 41, "jan": 41, "tang": 41, "leung": 41, "multimedia": 41, "syst": 41, "591": 41, "614": 41, "aug": 41, "mongha": 41, "pedersen": 41, "kovac": 41, "mogensen": 41, "dimou": 41, "wang": [41, 63], "yang": [41, 85], "kazmi": 41, "larmo": 41, "pettersson": 41, "muller": 41, "timner": 41, "70th": 41, "lee2010": [41, 43], "lim": 41, "hong": 41, "apcc": 41, "16th": 41, "asia": 41, "pacif": 41, "492": 41, "496": 41, "oct": 41, "nov": [41, 63], "bojov": [41, 72], "voic": [41, 87], "ssd": 41, "castelldefel": 41, "februari": [41, 85], "baldo2014": [41, 43], "mart\u00ednez": 41, "dini": 41, "vilalta": 41, "casella": 41, "mu\u00f1oz": 41, "adrenalin": 41, "abdelbaset": 41, "hamza": 41, "shadi": 41, "khalifa": 41, "haitham": 41, "khale": 41, "elsai": 41, "2013": [41, 60], "xie": 41, "bernhard": 41, "ntm": 41, "kimura": 41, "seki": 41, "fujitsu": 41, "sci": 41, "tech": [41, 58], "waveform": [42, 72, 86], "t_i": 42, "sinr_i": 42, "sum_i": 42, "recreat": [42, 43, 72], "ltespectrumvaluehelp": 42, "bearerid": 42, "ue_id": 42, "dlrlcstat": [42, 43], "ulrlcstat": [42, 43], "imsi": [42, 43], "epoch": [42, 54], "lte_amc": 42, "4m": [42, 66, 81], "satisfi": [42, 72], "d_1": [42, 63], "d_2": [42, 63], "lte_link_budget_interfer": 42, "throughout": [42, 43, 75, 88], "precalcul": 42, "firstli": [42, 43, 65], "secondli": [42, 43], "motion": [42, 49, 79], "spot": [42, 71], "teleport": 42, "drastic": 42, "shorter": [42, 43], "refresh": 42, "henceforth": 42, "albeit": 42, "ye": [42, 74], "straight": 42, "jump": 42, "halfwai": 42, "240": 42, "120": [42, 75, 87], "52": [42, 49, 84, 86, 87], "lfloor": 42, "ng": 42, "rfloor": 42, "justifi": 42, "lenathrtestcase1": 42, "r_i": 42, "rho_": 42, "r_j": 42, "t_j": 42, "steadi": 42, "foral": 42, "dot": 42, "tdbet": 42, "fdbet": 42, "f_i": 42, "fb": 42, "fdtbfq": 42, "tdtbfq": 42, "homogen": 42, "heterogen": 42, "2196000": 42, "evenli": 42, "mbr": [42, 43], "cbr": [42, 43], "vbr": [42, 43], "nmux": [42, 43], "half": [42, 43, 75, 79], "bear": [42, 63], "blow": 42, "qoe": 42, "buildingpathlossmodel": 42, "lte_pathloss": 42, "analog": [42, 58, 68, 71, 72, 79], "lteamc": [42, 43], "piroew2010": [42, 43], "03": [42, 85], "1800": 42, "33": [42, 49, 63, 84, 86, 87], "1088": 42, "600": [42, 49], "43": [42, 49, 87], "4800": 42, "1632": 42, "55": [42, 63, 86, 87], "470": 42, "7272": 42, "3648": 42, "3584": 42, "cbler": 42, "075": [42, 63], "bernoulli": 42, "trail": 42, "appreci": 42, "1078": 42, "217": [42, 87], "007": 42, "1040": 42, "045": 42, "1250": 42, "206": 42, "1260": 42, "81": [42, 43, 75, 87], "343": 42, "rv": 42, "926": 42, "074": 42, "752": 42, "248": 42, "calcult": 42, "thr_": 42, "tti_": 42, "tb_": 42, "dfrac": 42, "66": [42, 49, 75], "31822": 42, "bp": [42, 63], "472": [42, 78], "209964": 42, "fly": 42, "lterlcsapus": 42, "seri": [42, 49, 58, 79], "inteleav": 42, "e2": 42, "rngrun": [42, 43], "acquisit": [42, 79], "layout": [42, 43, 49, 63], "diagon": 42, "c_0": 42, "c_n": 42, "sdf": 42, "si": 42, "90m": 42, "10m": [42, 75], "80m": [42, 75], "lack": [42, 45, 63, 75, 79], "raresponsewindows": 42, "trip": [42, 75], "2n": 42, "accommod": 42, "20m": 42, "lterrcconnectionestablishmenttestcas": 42, "dlbandwidth": [42, 43], "ulbandwidth": [42, 43], "dlearfcn": [42, 43], "ulearfcn": [42, 43], "drb": 42, "lterrcconnectionestablishmenterrortestcas": 42, "temporarili": 42, "compens": [42, 43], "risk": 42, "44": [42, 63, 87], "39": [42, 63, 86, 87], "gtpu": 42, "s1u": 42, "isol": 42, "epcsgwpgwappl": 42, "varieti": [42, 79], "inject": [42, 75, 81, 86], "mismatch": 42, "epctftclassifi": 42, "radiobear": 42, "checkconnect": 42, "ax": [42, 45, 84, 86, 87], "neighborhood": [42, 79, 81], "spend": [42, 43], "109": [42, 87], "circular": [42, 63], "2141": 42, "9283": 42, "attarget": 42, "micro": [42, 79], "130": [42, 51], "shutdown": 42, "deserv": 42, "ltedownlinkpowercontrolspectrumvalu": 42, "createtxpowerspectraldens": 42, "txpd": 42, "ltedownlinkpowercontroltestcas": 42, "ltetestsinrchunkprocessor": 42, "rspowerchunkprocessor": 42, "downlinkspectrumphi": 42, "datapowerchunkprocessor": 42, "ltedownlinkpowercontrolrrcconnectionreconfigur": 42, "idealrrcprotocol": 42, "realrrcprotocol": 42, "lteuplinkopenlooppowercontroltestcas": 42, "lteuplinkclosedlooppowercontrolabsolutemodetestcas": 42, "lteffrsimpl": 42, "lteuplinkclosedlooppowercontrolaccumulatedmodetestcas": 42, "ltesimplespectrumphi": 42, "slow": [42, 43, 63, 75], "scarrierconfigur": 42, "got": [42, 87], "improp": 42, "synch": 42, "idle_cell_search": 42, "configstor": 43, "boilerpl": 43, "namespac": [43, 56, 75, 87], "char": 43, "enbdev": 43, "installenbdevic": 43, "uedev": 43, "installuedevic": 43, "epsbear": 43, "qci": 43, "gbr_conv_voic": 43, "activatedataradiobear": 43, "005": 43, "forev": 43, "repeatedli": [43, 45, 49, 81], "cleanup": 43, "destroi": 43, "gtkconfigstor": 43, "commandlin": 43, "__file__": 43, "inputconfig": 43, "configuredefault": 43, "pathlossmodel": 43, "friisspectrumpropagationlossmodel": 43, "18100": 43, "noisefigur": [43, 63, 88], "fileformat": 43, "rawtext": 43, "lot": [43, 70, 74], "setschedulertyp": 43, "fdmtffmacschedul": 43, "tdmtffmacschedul": 43, "ttaffmacschedul": 43, "fdbetffmacschedul": 43, "tdbetffmacschedul": 43, "fdtbfqffmacschedul": 43, "tdtbfqffmacschedul": 43, "pssffmacschedul": 43, "setschedulerattribut": 43, "debtlimit": 43, "yourvalu": 43, "625000": 43, "5mb": 43, "creditlimit": 43, "tokenpools": 43, "creditablethreshold": 43, "pssfdschedulertyp": 43, "gbrqosinform": 43, "gbrdl": 43, "gbrul": 43, "mbrdl": 43, "mbrul": 43, "activatededicatedepsbear": 43, "epctft": 43, "printattribut": 43, "pointtopointepchelp": 43, "kpi": 43, "enablephytrac": 43, "enablemactrac": 43, "enablerlctrac": 43, "enablepdcptrac": 43, "radiobearerstatscalcul": 43, "epochdur": 43, "column": [43, 72, 88], "macstatscalcul": 43, "dloutputfilenam": 43, "uloutputfilenam": 43, "phystatscalcul": 43, "dlrsrpsinrfilenam": 43, "uesinrfilenam": 43, "interferencefilenam": 43, "dltxoutputfilenam": 43, "ultxoutputfilenam": 43, "dlrxoutputfilenam": 43, "ulrxoutputfilenam": 43, "v_km_h": 43, "tracedur": 43, "numrb": 43, "row": [43, 63, 72], "tempor": 43, "compli": [43, 72], "paramount": 43, "tracefilenam": 43, "tracelength": 43, "samplesnum": 43, "windows": 43, "000": 43, "amplitud": [43, 79], "settag": 43, "setfadingmodel": 43, "tracefadinglossmodel": 43, "setfadingmodelattribut": 43, "fading_trace_epa_3kmph": 43, "fad": 43, "10000": [43, 60], "rbnum": 43, "excerpt": 43, "setenbdeviceattribut": 43, "ctrlerrormodelen": 43, "spatial": [43, 63, 72, 82, 85, 86, 87], "precod": 43, "defaulttransmissionmod": 43, "transmissionmodeconfigurationupd": 43, "txmode": 43, "lteenbdev": 43, "pointervalu": 43, "ptrval": 43, "enbnetdev": 43, "getattribut": 43, "rrsched": 43, "txmode1gain": 43, "txmode2gain": 43, "txmode3gain": 43, "txmode4gain": 43, "txmode5gain": 43, "txmode6gain": 43, "txmode7gain": 43, "enbnetdevic": 43, "setenbantennamodeltyp": 43, "setenbantennamodelattribut": 43, "maxgain": 43, "pm": 43, "site": [43, 57], "radioenvironmentmaphelp": 43, "rem": 43, "2d": [43, 63, 72], "remhelp": 43, "getdownlinkspectrumchannel": 43, "outputfil": 43, "xmin": 43, "xmax": 43, "xre": 43, "ymin": 43, "ymax": 43, "yre": 43, "75": [43, 51, 63, 87], "usedatachannel": 43, "5kb": 43, "pixel": 43, "500x500": 43, "gb": 43, "1000x1000": 43, "pc": 43, "maxpointsperiter": 43, "stopwhendon": 43, "xlabel": [43, 72], "ylabel": [43, 72], "cblabel": 43, "unset": [43, 72], "log10": [43, 72], "macrocel": 43, "my_plot_script": 43, "correspondingli": 43, "amcmodel": 43, "enumvalu": [43, 87], "mierrormodel": 43, "setepchelp": 43, "intervent": 43, "epsbearertorlcmap": 43, "rlc_um_alwai": 43, "rlc_sm_alwai": 43, "rlc_am_alwai": 43, "touch": 43, "getpgwnod": 43, "remotehost": 43, "remotehostcontain": 43, "pointtopointhelp": [43, 61, 64], "p2ph": 43, "dataratevalu": 43, "100gb": 43, "010": 43, "internetdevic": 43, "ipv4h": 43, "internetipifac": 43, "remotehostaddr": 43, "ipv4routinghelp": 43, "ipv4staticrout": [43, 68], "remotehoststaticrout": 43, "getstaticrout": 43, "addnetworkrouteto": 43, "getepcipv4networkaddress": 43, "ipv4mask": 43, "ueltedev": 43, "enbltedev": 43, "getn": 43, "ueltedevic": 43, "ueipifac": 43, "assignueipv4address": 43, "uestaticrout": 43, "setdefaultrout": [43, 68], "getuedefaultgatewayaddress": 43, "1234": 43, "packetfilt": [43, 65], "localportstart": 43, "localportend": 43, "ngbr_video_tcp_default": 43, "udpclient": 43, "dlport": 43, "packetsinkhelp": [43, 75, 80], "inetsocketaddress": [43, 71, 75, 80, 87], "getani": [43, 75, 80], "applicationcontain": [43, 75, 80], "serverapp": 43, "udpclienthelp": 43, "clientapp": [43, 80], "emuepchelp": 43, "sgwdevicenam": 43, "enbdevicenam": 43, "veth": 43, "veth0": 43, "veth1": 43, "promisc": 43, "clock": [43, 56, 75, 81], "1ue": 43, "nuesperenb": 43, "soften": 43, "besteffort": 43, "decent": 43, "synchronizationmod": 43, "hardlimit": 43, "optic": 43, "nobackhaulepchelp": 43, "getsgwnod": 43, "s1uipv4addresshelp": 43, "sgwenbdevic": 43, "adds1interfac": 43, "enbs1uaddress": 43, "sgws1uaddress": 43, "252": 43, "s1ulinkdatar": 43, "10gb": 43, "s1ulinkmtu": 43, "s1ulinkdelai": 43, "sgwenbipifac": 43, "newnetwork": 43, "sgwenbnod": 43, "csmah": 43, "sgwdev": 43, "difficult": [43, 58, 68, 84], "resort": [43, 67, 68], "owner": 43, "household": 43, "altogeth": 43, "csgid": 43, "csgindic": 43, "setuedeviceattribut": 43, "csgenbdev": 43, "csgenbnod": 43, "csguedev": 43, "csguenod": 43, "dictat": [43, 73], "adduemeasreportconfig": 43, "recvmeasurementreport": 43, "eventid": [43, 75], "event_a1": 43, "thresholdeutra": 43, "threshold_rsrp": 43, "ms480": 43, "measidlist": 43, "enbrrc": 43, "getrrc": 43, "traceconnect": 43, "recvmeasurementreportcallback": 43, "eutranmeasurementmap": 43, "uint64_t": 43, "measurementreport": 43, "measreport": 43, "unknowingli": 43, "interven": 43, "unambigu": 43, "elsewher": 43, "addx2interfac": 43, "admithandoverrequest": 43, "epcx2": 43, "handoverrequest": 43, "shortli": [43, 75], "opt": 43, "sethandoveralgorithmtyp": 43, "sethandoveralgorithmattribut": 43, "unpredict": 43, "appar": 43, "safe": [43, 58, 84], "attent": [43, 68], "suscept": 43, "hole": [43, 79], "ventur": 43, "late": [43, 84, 87], "earli": [43, 60, 75, 77], "notifyhandoverstartu": 43, "cout": 43, "getsecond": [43, 75], "endl": 43, "notifyhandoverendoku": 43, "notifyhandoverstartenb": 43, "notifyhandoverendokenb": 43, "nodelist": [43, 64, 65, 68, 75, 81, 87], "devicelist": [43, 64, 65, 81, 87], "handoverstart": 43, "handoverendok": 43, "handoverfailurenopreambl": 43, "handoverfailuremaxrach": 43, "handoverfailureleav": 43, "handoverfailurejoin": 43, "notifyhandoverfailurenopreambl": 43, "notifyhandoverfailuremaxrach": 43, "notifyhandoverfailureleav": 43, "notifyhandoverfailurejoin": 43, "he": [43, 58, 73, 82, 84, 86], "she": [43, 58, 73], "drawback": 43, "setffralgorithmtyp": 43, "dlsubbandoffset": 43, "dlsubbandwidth": 43, "subbandwidth": 43, "ulsubbandoffset": 43, "ulsubbandwidth": 43, "setffralgorithmattribut": 43, "ulcommonsubbandwidth": 43, "uledgesubbandoffset": 43, "uledgesubbandwidth": 43, "dlcommonsubbandwidth": 43, "dledgesubbandoffset": 43, "dledgesubbandwidth": 43, "rsrqthreshold": 43, "centerpoweroffset": 43, "pa": 43, "db0": 43, "edgepoweroffset": 43, "centerareatpc": 43, "edgeareatpc": 43, "db_3": 43, "db3": 43, "allowcenterueuseedgesubband": 43, "centerrsrqthreshold": 43, "edgersrqthreshold": 43, "centerareapoweroffset": 43, "mediumareapoweroffset": 43, "edgeareapoweroffset": 43, "mediumareatpc": 43, "ulreuse3subbandwidth": 43, "ulreuse1subbandwidth": 43, "dlreuse3subbandwidth": 43, "dlreuse1subbandwidth": 43, "dlcqithreshold": 43, "ulcqithreshold": 43, "6db": 43, "db_6": 43, "calculationinterv": 43, "rsrpdifferencethreshold": 43, "edgerbnum": 43, "frcelltypeid": 43, "hexagon": 43, "pcmin": 43, "pcmax": 43, "126": [43, 87], "reportpuschtxpow": 43, "reportpucchtxpow": 43, "reportsrstxpow": 43, "vast": 43, "printglob": 43, "snip": 43, "rlcstat": 43, "getrlcstat": 43, "tier": [43, 45], "roam": [43, 82], "46": [43, 49, 63, 86, 87], "nblock": 43, "nmacroenbsit": 43, "nmacroenbsitesx": 43, "intersitedist": 43, "macroenbtxpowerdbm": 43, "epcdl": 43, "epcul": 43, "useudp": 43, "macrouedens": 43, "00002": 43, "outdoorueminspe": 43, "6667": 43, "outdooruemaxspe": 43, "macroenbbandwidth": 43, "generaterem": 43, "handoveralgorithm": 43, "useidealrrc": 43, "dlrlcoutputfilenam": 43, "ulrlcoutputfilenam": 43, "dlrsrpsinrstat": 43, "ulsinrfilenam": 43, "ulsinrstat": 43, "overwritten": [43, 87], "hour": [43, 81], "gnu": [43, 84, 86], "dlrxbyte": 43, "dlaveragethroughputkbp": 43, "ulrxbyt": 43, "ulaveragethroughputkbp": 43, "dlsinr": 43, "nan": 43, "idx": 43, "isnan": 43, "dlaveragesinrdb": 43, "ulsinr": 43, "ulaveragesinrdb": 43, "occurr": 43, "wc": 43, "615": 43, "kbp": [43, 66], "509": 43, "709": 43, "095": 43, "705": 43, "627": 43, "57": [43, 49, 85, 87], "79": [43, 86, 87], "65": [43, 86, 87], "05694": 43, "04771": 43, "triangl": [43, 81], "ffralgorithm": 43, "generatespectrumtrac": 43, "remrbid": 43, "useca": 43, "numberofcomponentcarri": 43, "enbcomponentcarriermanag": 43, "s_writeresult": 43, "png": [43, 49, 63], "mbp": [43, 75, 81, 84, 86], "downlink_result": 43, "carrier_aggregation_results_dl": 43, "uplink_result": 43, "carrier_aggregation_results_ul": 43, "titl": [43, 49, 84], "1000000": [43, 81], "NO": 43, "sdl": 43, "enablerlfdetect": 43, "numberofenb": 43, "1200": 43, "enablectrlerrormodel": 43, "enabledataerrormodel": 43, "thrput": 43, "multiplot": 43, "rlf_dl_thrput_1_enb_ideal_rrc": 43, "linespoint": 43, "linestyl": 43, "lw": 43, "lc": [43, 72], "rgb": 43, "rlf_dl_thrput_1_enb_real_rrc": 43, "qrxlevmin": 43, "worthwhil": 43, "interestingli": 43, "unstabl": 43, "mayb": [43, 81], "bug": [43, 45, 75], "meshnetdevic": 44, "ieee80211": [45, 46, 84, 85], "mbss": 45, "wise": 45, "gate": 45, "mcf": 45, "interwork": 45, "item": [45, 58, 64, 65, 74, 87], "d3": [45, 75], "hwmp": 45, "kiril": 45, "andreev": [45, 46], "and09": [45, 46], "and10": [45, 46], "hep15": [45, 46], "370": 45, "363": 45, "perr": 45, "394": 45, "ieee802": [45, 46, 81], "pmp": [45, 88], "meshwifiinterfacemacplugin": 45, "dot11": 45, "peermanagementprotocol": 45, "peermanagementprotocolmac": 45, "peek": [45, 64, 65, 74], "peerlink": 45, "meshpointdevic": 45, "proactiv": [45, 68, 75], "rebuilt": [45, 54, 68], "clariti": [45, 75], "problemat": [45, 53, 79], "contributor": 45, "argu": 45, "hep16": [45, 46], "conclud": 45, "478": 45, "gitlab": 45, "tracker": 45, "hwmpprotocol": 45, "forwardingdelai": 45, "11e": [45, 84, 87], "airtim": [45, 84], "dissector": [45, 70], "mac80211": [45, 87], "mcca": 45, "internetwork": 45, "preq": 45, "unconfirm": 45, "manifest": 45, "incorrect": [45, 87], "bugzilla": 45, "show_bug": 45, "cgi": 45, "2326": 45, "11n": [45, 84, 86, 87], "ac": [45, 50, 72, 81, 82, 84, 85, 86], "176": [45, 87], "2880": 45, "2265": 45, "ie11s_mesh_peering_protocol_vers": 45, "2600": [45, 63], "meshinformationelementvector": 45, "2728": 45, "2881": 45, "485": 45, "476": 45, "hepner": [46, 85], "witt": [46, 85], "muenzner": [46, 85], "moll": 46, "voip": 46, "multihop": 46, "2016": [46, 60, 63, 75, 84, 85], "amend": [46, 81, 84, 88], "parser": 49, "lai": 49, "canva": 49, "waypoint": [49, 63, 79], "arisen": 49, "proj4": 49, "revers": [49, 68, 72, 75], "geocentr": [49, 72], "welcom": [49, 55, 81], "setsphericalposit": 49, "magnitud": [49, 58], "rectangl": 49, "getposit": 49, "getdistancefrom": [49, 88], "coursechangenotif": 49, "constantposit": 49, "constantveloc": 49, "constantacceler": 49, "gaussmarkov": 49, "randomdirection2d": 49, "randomwalk2d": 49, "randomwaypoint": 49, "steadystaterandomwaypoint": 49, "listpositionalloc": [49, 87], "gridpositionalloc": 49, "randomrectanglepositionalloc": 49, "randomboxpositionalloc": 49, "randomdiscpositionalloc": 49, "uniformdiscpositionalloc": 49, "groupmobilityhelp": 49, "hierarchicalmobilitymodel": 49, "camp2002": 49, "isi": [49, 79], "node172": 49, "x1": 49, "y_": 49, "y1": 49, "z_": 49, "z1": 49, "setdest": 49, "y2": 49, "paus": [49, 75], "constantvelocitymobilitymodel": [49, 79], "boleng": 49, "davi": 49, "2483": 49, "2502": 49, "author": [49, 58, 68, 75], "setpositionalloc": [49, 87], "layouttyp": 49, "rowfirst": 49, "typeid": [49, 71, 75], "rectanglevalu": 49, "wifistanod": [49, 87], "ns_movement": 49, "tracefil": 49, "nodenum": 49, "logfil": 49, "mob": 49, "po": 49, "93": [49, 75, 86, 87], "986": 49, "vel": 49, "4038": 49, "195": [49, 87], "418": 49, "1186": 49, "104727357": 49, "667": 49, "1239": 49, "204480076": 49, "205": [49, 87], "ns_param": 49, "stdout": 49, "329": 49, "82": [49, 63, 84], "378": 49, "45": [49, 87], "123": [49, 85, 87], "92": 49, "97": [49, 63, 86, 87], "131": [49, 78, 87], "67": [49, 63, 87], "202": 49, "98": 49, "35": [49, 63, 72, 86, 87], "158": [49, 79], "95": [49, 84], "183": [49, 84, 87], "87": [49, 87], "76": 49, "700": 49, "107": [49, 87], "132": [49, 87], "184": [49, 87], "tcl": 49, "ex": 49, "00000": 49, "119": [49, 87], "37150": 49, "286": 49, "69": [49, 63, 84, 86, 87], "142": [49, 87], "276": 49, "35353": 49, "246": 49, "70": [49, 63], "354": [49, 63], "65589": 49, "186": 49, "94": [49, 63], "594": 49, "03719": 49, "241": 49, "884": 49, "77399": 49, "309": 49, "37": [49, 63, 72, 87], "assignstream": [49, 81], "facil": [49, 57, 70, 71], "stream": [49, 58, 71, 75, 81, 84, 86, 87, 88], "int64_t": [49, 81], "streamindex": 49, "streamsus": 49, "tbd": 49, "uni": 49, "bonn": 49, "de": [49, 79], "wg": 49, "sourceforg": 49, "mediawiki": 49, "php": [49, 72, 74], "main_pag": 49, "epfl": 49, "ch": 49, "waypointmobilitymodel": [49, 79], "imagemagick": 49, "multiqueu": [50, 66], "graft": 50, "mqqueuedisc": 50, "wake": [50, 51, 65, 74, 84], "wake_child": [50, 65], "fatal": [50, 63], "classidlist": [50, 62], "cl": 50, "addqueuediscclass": [50, 62], "numtxqueu": 50, "queuediscclass": [50, 62, 65], "addchildqueuedisc": [50, 62], "wifiacmappingtestsuit": 50, "ef": [50, 87], "ac_vi": [50, 87], "af11": [50, 87], "ac_bk": [50, 87], "af32": [50, 87], "ac_b": [50, 81, 87], "cs7": [50, 87], "ac_vo": [50, 87], "wifiacmappingtest": 50, "netmapnetdevic": 51, "netmapnetdevicehelp": 51, "rizzo": 51, "rizzo2012": 51, "luigirizzo": 51, "imputato2019": 51, "2017": [51, 72, 75, 85], "timefram": [51, 70], "novel": 51, "usenix": 51, "techinc": 51, "fidel": 51, "63": [51, 79, 86], "sciencedirect": [51, 67, 75], "articl": [51, 67, 75], "pii": [51, 67, 75], "s1084804519300220": 51, "straightforward": [51, 68, 71, 75], "txsync": 51, "ioctl": 51, "bql": 51, "readm": 51, "netmap_us": 51, "syncandnotifyqueueperiod": 51, "coalesc": 51, "compromis": 51, "starvat": [51, 66], "tc": [51, 55], "explor": [51, 79], "semant": [52, 71, 75], "sendto": [52, 59, 62, 75, 80, 87], "ToS": [52, 87], "errno": 52, "posix": 52, "dynamicqueuelimit": 52, "innard": 53, "protocolhandl": [53, 78], "plumb": 53, "mpl": [53, 56], "1x": 53, "footprint": 54, "nixvectorrout": [54, 68], "breadth": 54, "storag": 54, "shortest": [54, 68], "bf": [54, 63], "purg": 54, "nixvector": 54, "nixvecor": 54, "outdat": 54, "ipv4nixvectorhelp": 54, "nixrout": 54, "allnod": 54, "ipv6nixvectorhelp": 54, "nixvectorhelp": 54, "________": 54, "n2": 54, "n3": 54, "repect": 54, "useipv6": 54, "advantag": [54, 73], "yanswifichannel": [54, 84, 86, 87], "enablenixlog": 54, "murcia": 55, "francisco": 55, "ro": 55, "gustavo": 55, "inesc": 55, "porto": 55, "portug": 55, "3626": [55, 68], "rfc3626": 55, "7181": 55, "rfc7181": 55, "mostli": [55, 87], "notifyinterfaceup": 55, "notifyinterfacedown": 55, "notifyaddaddress": 55, "notifyremoveaddress": 55, "hna": 55, "olsrhelp": 55, "ipv4listroutingprotocol": 55, "setmaininterfac": 55, "olsrroutingprotocol": 55, "dostart": 55, "patch": [55, 79, 81], "esist": 55, "writer": [55, 71], "hellointerv": 55, "tcinterv": 55, "midinterv": 55, "willing": [55, 87], "configurabel": 55, "emiss": 55, "mid": 55, "hnainterv": 55, "olsr_will_default": 55, "routingtablechang": 55, "ipv4listrout": [55, 68], "validationha": 55, "mckeown": 56, "et": [56, 63, 67, 85], "al": [56, 63, 67, 85], "agreement": [56, 84], "herebi": 56, "ericsson": 56, "wrapper": [56, 81], "openflowswitchnetdevic": 56, "openflowswitchhelp": 56, "rewrit": 56, "tcam": 56, "ofi": 56, "dropcontrol": 56, "learningcontrol": 56, "complic": [56, 65, 73, 79], "bridgenetdevic": [56, 73], "vers": 56, "OF": 56, "m_control": 56, "receivefromswitch": 56, "essenc": [56, 73], "ssl": 56, "programm": 56, "openflowswitch": 56, "break": [56, 63, 68, 75, 84, 88], "engag": 56, "flowtablelookupdelai": 56, "endeavor": 56, "tweak": 56, "outsourc": 56, "hasn": 56, "bufferfrompacket": 56, "mplslabelstack": 56, "whatev": [56, 70, 73, 75], "ofpbuf": 56, "anderson": 56, "balakrishan": 56, "parulkar": 56, "peterson": 56, "rexford": 56, "shenker": 56, "turner": 56, "innov": 56, "campu": 56, "sigcomm": [56, 75], "april": [56, 60], "libxml2": 56, "fib": 56, "libdl": 56, "fault": [56, 79], "waf": 56, "libopenflow": 56, "learn": [56, 58, 68, 75, 84], "switchnetdevic": 56, "ofp_config_flag": 56, "ofpc_send_flow_exp": 56, "ofpc_frag_norm": 56, "ofpc_frag_drop": 56, "ofpc_frag_reasm": 56, "reassembl": [56, 58, 70], "ofpc_ip_reasm": 56, "ofpc_frag_mask": 56, "flowtablemisssendlength": 56, "entireti": 56, "todo": [56, 79], "conduct": 57, "remaind": [57, 84], "alphabet": 57, "heavili": 58, "guid": 58, "defragment": [58, 84], "assembl": 58, "skb": 58, "bsd": [58, 71], "enableprint": 58, "flowidtag": 58, "payload": [58, 64, 65, 70, 71, 80, 84], "tradeoff": 58, "tension": 58, "packetmetadata": 58, "arbitrarili": 58, "wouldn": 58, "skbuff": 58, "refcountbas": 58, "unref": 58, "vtabl": 58, "cheapli": 58, "cow": 58, "deep": 58, "addhead": 58, "addtrail": 58, "addatend": 58, "removepackettag": 58, "serializeto": 58, "deserializefrom": 58, "getserializeds": [58, 75], "printto": 58, "tcpheader": [58, 75], "contigu": 58, "flexibli": 58, "fetch": [58, 68], "getuid": 58, "trivial": [58, 76], "getsiz": [58, 64, 75], "charact": 58, "untouch": 58, "pkt1": 58, "reinterpret_cast": 58, "freed": [58, 75], "prepend": [58, 61], "udphead": [58, 80], "preexist": 58, "removehead": 58, "_not_": 58, "peekhead": 58, "caller": [58, 73], "tlv": 58, "deduc": 58, "bytetag": 58, "packettag": 58, "surviv": 58, "merg": [58, 75], "addpackettag": 58, "udpechoserv": 58, "un": 58, "intuit": 58, "evil": 58, "addbytetag": 58, "bytetagiter": 58, "getbytetagiter": 58, "findfirstmatchingbytetag": 58, "removeallbytetag": 58, "printbytetag": 58, "ostream": 58, "fairli": [58, 75], "peekpackettag": 58, "removeallpackettag": 58, "sa": 58, "printpackettag": 58, "packettagiter": 58, "getpackettagiter": 58, "socketipttltag": 58, "setttl": 58, "m_ipmulticastttl": 58, "m_defaultttl": 58, "getttl": 58, "frag0": 58, "createfrag": 58, "frag1": 58, "printer": 58, "saniti": 58, "front": 58, "abort": [58, 63, 84, 87], "enablecheck": 58, "m_buffer": 58, "bytetaglist": 58, "m_bytetaglist": 58, "packettaglist": 58, "m_packettaglist": 58, "m_metadata": 58, "mutabl": 58, "m_refcount": 58, "m_globaluid": 58, "felt": 58, "Its": [58, 68, 72], "resiz": 58, "bufferdata": 58, "m_count": 58, "m_size": 58, "m_initialstart": 58, "m_dirtystart": 58, "m_dirtys": 58, "m_data": 58, "m_zeroareas": 58, "m_start": 58, "xxx": 58, "revis": [58, 84], "me": 58, "oftagdata": 58, "tagdata": 58, "m_next": 58, "m_id": 58, "mytag": 58, "m_streamid": 58, "dataless": 58, "scott": 58, "meyer": 58, "cheap": 58, "removetrail": 58, "removeatstart": 58, "removeatend": 58, "copydata": 58, "slower": [58, 75], "pfifofastqueuedisc": [59, 62, 65, 66, 71, 87], "init": 59, "systemd": 59, "fifo": [59, 64, 74, 75, 77], "0xf": 59, "txqueuelen": 59, "pfifofastqueuedisctestsuit": 59, "pan13": 60, "pan16": 60, "preethi": 60, "natarajan": 60, "piequeuedisc": 60, "shravya": 60, "smriti": 60, "murali": 60, "rong": 60, "chiara": 60, "piglion": 60, "greg": 60, "takashi": 60, "hayakawa": 60, "vivek": [60, 75], "bhaskar": 60, "kataria": 60, "activethreshold": 60, "dropearli": 60, "calculatep": 60, "m_tupdat": 60, "usedequerateestim": 60, "prabhu": 60, "subramanian": 60, "versteeg": 60, "lightweight": 60, "hpsr": 60, "148": 60, "155": [60, 87], "iccrg": [60, 75], "pdfb57azsheoh": 60, "piequeu": 60, "125": [60, 75, 87], "writepcap": 60, "piequeuedisctestsuit": 60, "unforc": 60, "capdropadjust": 60, "pointtopointchannel": 61, "duplex": [61, 72, 75, 79, 88], "232": 61, "422": 61, "handshak": [61, 75, 79, 80], "ppp": 61, "1661": 61, "lcp": 61, "authent": [61, 81, 84], "escap": 61, "sixteen": 61, "0x21": 61, "iana": [61, 70], "adsl": 61, "beyond": [61, 63, 70, 75, 87], "multipoint": [61, 68, 88], "5mbp": [61, 74], "2m": [61, 63, 64], "prioqueuedisc": 62, "priomap": 62, "benchmark": 62, "cid": [62, 88], "redqueuedisc": [62, 67, 75], "prioqueuedisctestsuit": 62, "p_r": 63, "p_t": 63, "a_r": 63, "a_t": 63, "heat": 63, "isotr": 63, "modern": [63, 75], "g_t": 63, "g_r": 63, "299792458": 63, "vacuum": 63, "undefin": 63, "artifact": 63, "bogu": 63, "inaccur": [63, 72], "lim_": 63, "infti": 63, "undesir": 63, "minloss": 63, "rai": [63, 72, 79, 81], "h_t": 63, "h_r": 63, "rappaport": 63, "book": [63, 70], "setheightabovez": 63, "destruct": 63, "crossov": 63, "dcross": 63, "l_0": 63, "d_0": 63, "expon": [63, 87], "n_0": 63, "txpowerdbm": 63, "underbrac": 63, "n_1": 63, "n_2": 63, "displaystyl": 63, "unitless": 63, "nakagami": [63, 81], "omega": 63, "gammarandomvari": 63, "erlangrandomvari": 63, "m_0": 63, "m_2": 63, "rayleigh": 63, "disregard": 63, "synthet": 63, "maxrang": 63, "h_": 63, "logarithm": [63, 75], "54h_m": 63, "75h_m": 63, "su": 63, "seem": [63, 71, 79, 81], "impos": [63, 67, 73, 84], "fo": 63, "breakpoint": 63, "approx": 63, "4h_": 63, "median": 63, "walfisch": 63, "ikegami": 63, "diffract": 63, "screen": 63, "msd": 63, "nlos1": 63, "delta": [63, 74, 75], "h_m": 63, "ori": 63, "varphi": 63, "circ": 63, "114": [63, 87], "incid": 63, "h_b": 63, "bsh": 63, "hr": [63, 84], "k_a": 63, "71": [63, 86, 87], "le2000": 63, "k_d": 63, "k_f": 63, "925": 63, "q_m": 63, "rho": [63, 75], "arc": 63, "tan": 63, "kun2600mhz": 63, "rural": [63, 72], "autocorrel": 63, "o2i": 63, "o2o": 63, "buildingpenetrationlossesen": 63, "probabilist": [63, 84], "v2v": 63, "channelcondit": 63, "o2ithreshold": 63, "car": [63, 72, 85], "setchannelconditionmodel": 63, "shadowingen": 63, "enforceparameterrang": 63, "tr38": 63, "warn": [63, 75], "docalcrxpow": 63, "getlosslo": 63, "getlossnlo": 63, "getshadow": 63, "ut": 63, "bss": [63, 81, 84], "emit": 63, "anywai": [63, 75], "tallest": 63, "inaccuraci": [63, 85], "rma": 63, "avgbuildingheight": 63, "avgstreetwidth": 63, "hb": 63, "hut": 63, "uma": 63, "umi": 63, "threegpppropagationlossmodelstestsuit": 63, "threegpprmapropagationlossmodeltestcas": 63, "threegppumapropagationlossmodeltestcas": 63, "threegppumipropagationlossmodeltestcas": 63, "threegppindoorofficepropagationlossmodeltestcas": 63, "threegppshadowingtestcas": 63, "getchannelcondit": 63, "epsilon": 63, "updateperiod": [63, 72], "channelconditionmodelstestsuit": 63, "threegppchannelconditionmodeltestcas": 63, "euclidean": 63, "bewar": 63, "earth": [63, 72], "preserv": [63, 68], "885": [63, 72], "37885": 63, "highwai": [63, 81], "nlosv": 63, "obstruct": 63, "computation": 63, "dramat": 63, "boban": 63, "boban2016model": 63, "probabilisticv2vurbanchannelconditionmodel": 63, "probabilisticv2vhighwaychannelconditionmodel": 63, "threegppv2vurbanpropagationlossmodel": 63, "threegppv2vhighwaypropagationlossmodel": 63, "threegppchannelmodel": 63, "v_": [63, 72], "scatt": [63, 72], "threegppspectrumpropagationlossmodel": 63, "vscatt": [63, 72], "2x2": 63, "downtilt": 63, "txpow": 63, "lane": 63, "txposx": 63, "txposi": 63, "rxposx": 63, "rxposi": 63, "channelst": 63, "254": [63, 86], "1946": 63, "tran": [63, 72], "317": 63, "325": 63, "digit": [63, 72, 79, 85], "commiss": 63, "2920": 63, "luxembourg": 63, "1989": 63, "bertoni": 63, "uhf": 63, "propagat": 63, "1988": 63, "1788": 63, "1796": 63, "takeuchi": 63, "yoshida": 63, "1991": 63, "sun": 63, "yingz": 63, "3ghz": 63, "6ghz": 63, "5ghz": [63, 83, 87], "8th": 63, "em": 63, "isap": 63, "kunm": 63, "china": 63, "v2x": 63, "gong": 63, "xu": 63, "blockag": [63, 72], "84th": 63, "redesign": [64, 75], "queueitem": [64, 65], "wifimacqueueitem": 64, "disc": [64, 65, 75, 77, 87], "alia": 64, "internalqueu": 64, "wifimacqueu": [64, 84], "queuebas": 64, "tid": [64, 71, 75, 84], "dropbeforeenqueu": 64, "dropafterdequeu": 64, "packetsinqueu": [64, 65], "bytesinqueu": [64, 65], "tail": [64, 67], "droptailqueu": [64, 65], "setqueu": 64, "10mbp": 64, "devn0n2": 64, "n0n2": 64, "devn1n2": 64, "n1n2": 64, "50p": 64, "linkdatar": 64, "linkdelai": [64, 67], "devn2n3": 64, "n2n3": 64, "simplenetdevicehelp": 64, "0x806": 64, "arphead": 64, "dest": [64, 81], "treatment": 65, "subdivis": 65, "classless": 65, "taxonomi": 65, "quota": 65, "sojourntim": 65, "checkconfig": 65, "initializeparam": 65, "dopeek": [65, 74], "qdisc_peek_dequeu": 65, "obviou": 65, "redefin": 65, "internalqueuelist": 65, "queuediscclasslist": 65, "packetfilterlist": 65, "checkprotocol": 65, "doclassifi": 65, "trafficcontrollay": [65, 78], "addresshelp": [65, 78], "uninstal": 65, "tcdrop": 65, "disableflowcontrol": 65, "pfifo_fast": [65, 77], "addinternalqueu": 65, "1000p": 65, "pfifofast": 65, "rootqueuedisclist": 65, "ns3tcp": [65, 75], "ns3tcpcwndtestcase2": 65, "dorun": 65, "netdev_queu": 65, "fallback": [65, 85], "queue_map": 65, "sk_buff": 65, "netdevicequeu": 65, "netdeviceinfo": 65, "wake_root": 65, "netdevicequeueinterfac": 65, "installpriv": 65, "somewher": [65, 73], "circumst": 65, "dequeue_skb": 65, "sch_direct_xmit": 65, "netdev_tx_busi": 65, "ndo_start_xmit": 65, "netdev_tx_ok": 65, "dequeuepacket": 65, "inde": [65, 84], "recal": 65, "coupl": 66, "notifyqueuedbyt": 66, "notifytransmittedbyt": 66, "queuelimit": [66, 74], "dql": 66, "holdtim": 66, "maxlimit": 66, "minlimit": 66, "dql_max_limit": 66, "setqueuelimit": 66, "immin": 67, "gracefulli": 67, "incur": [67, 68, 71], "salli": 67, "floyd": 67, "minth": [67, 75], "maxth": [67, 75], "gentler": 67, "aggress": [67, 75], "useharddrop": 67, "job": [67, 73], "cite": [67, 75, 79], "icir": 67, "redsim": 67, "adaptiv": 67, "ieeexplor": [67, 75, 79], "jsp": [67, 75, 79], "arnumb": [67, 75, 79], "752150": 67, "kaiyu": 67, "zhou": [67, 85], "s1389128606000879": 67, "rfc3168": [67, 75], "idlepkts": 67, "gentl": 67, "linterm": 67, "linkbandwidth": 67, "adaptmaxp": 67, "m_curmaxp": 67, "lastset": 67, "fengadapt": 67, "fengalpha": 67, "fengbeta": 67, "qw": [67, 75], "talau": 67, "unorthodox": 68, "dst_cach": 68, "inbound": 68, "ownership": 68, "multicastforward": 68, "ipv4listroutingimpl": 68, "priorit": 68, "getroutingprotocol": 68, "ipv6listrout": 68, "ipv4globalrout": 68, "ipv4nixvectorrout": 68, "ripv2": 68, "2453": 68, "2080": 68, "chose": [68, 75, 84], "dispar": 68, "int16_t": 68, "ipv6listroutingimpl": 68, "govern": [68, 84], "listroutingimpl": 68, "staticroutingimpl": 68, "myroutingprotocol": 68, "myroutingproto": 68, "listroutingptr": 68, "god": 68, "globalroutemanag": 68, "populateroutingt": 68, "remind": 68, "midst": 68, "recomputeroutingt": 68, "randomecmprout": 68, "respondtointerfaceev": 68, "recomput": [68, 72], "lsa": 68, "ospf": 68, "databas": [68, 79], "spf": 68, "quagga": 68, "atm": 68, "typedef": [68, 75], "fed": 68, "consolid": [68, 83], "1058": 68, "ripv1": 68, "1723": 68, "unreach": 68, "bellman": 68, "ford": 68, "fulkerson": 68, "cooldown": 68, "stabil": 68, "suboptim": 68, "distant": [68, 84], "instabl": 68, "poison": 68, "infin": 68, "cidr": 68, "addmulticastrout": 68, "inputinterfac": 68, "outputinterfac": 68, "addhostrouteto": 68, "setdefaultmulticastrout": 68, "hope": 68, "pai": 68, "subtleti": 68, "worri": 68, "lookupstat": 68, "getnmulticastrout": 68, "ipv4multicastrout": 68, "getmulticastrout": 68, "getdefaultmulticastrout": 68, "removemulticastrout": 68, "strictli": 70, "hc2": 70, "supersed": 70, "nhc": 70, "sate": 70, "6775": 70, "sixlowpanhelp": 70, "addcontext": 70, "pointtopointnedevic": 70, "getmtu": 70, "tracesourc": [70, 77], "rfc6282": 70, "omitudpchecksum": 70, "fragmentreassemblylists": 70, "oldest": 70, "fragmentexpirationtimeout": 70, "compressionthreshold": 70, "unsign": 70, "forceethertyp": 70, "0xffff": 70, "usemeshund": 70, "meshunderradiu": 70, "meshcachelength": 70, "meshunderjitt": 70, "sicslowpan_conf_min_mac_payload": 70, "uncompress": 70, "dispatch": 70, "contikimac": 70, "iana802": 70, "mac16address": 70, "dissect": 70, "sixlowpannetdevic": 70, "dropreason": 70, "shelbi": 70, "bc0": 70, "editor": 70, "drop_disallowed_compress": 70, "discrimin": 70, "regauth": 70, "eth": 70, "bormann": 70, "googl": [70, 75], "3nm7zcxscmqc": 70, "reliabl": [71, 79, 84], "unreli": [71, 80], "accustom": 71, "netlink": 71, "fanci": 71, "tcpsocketfactori": [71, 75], "stuff": 71, "localsocket": [71, 75], "gettypeid": [71, 75], "startappl": 71, "sock": 71, "pf_inet": 71, "sock_stream": 71, "ipproto_tcp": 71, "recv": [71, 75, 80], "insuffici": 71, "suspend": [71, 81], "setsendcallback": [71, 75, 80], "gettxavail": [71, 75], "handlesendcallback": 71, "buf": 71, "odd": 71, "bother": 71, "pretend": 71, "toaddress": 71, "thereaft": 71, "setipto": 71, "ipto": 71, "getipto": 71, "ip_to": 71, "destaddress": [71, 87], "udpport": [71, 87], "setto": [71, 87], "tos": [71, 84, 87], "onoffhelp": [71, 75, 80, 87], "tcpsocketbas": [71, 75], "2474": 71, "setprior": 71, "getprior": 71, "so_prior": 71, "iptos2prior": 71, "rt_tos2prior": 71, "bulk": 71, "subfield": [71, 84], "obsolet": 71, "1349": 71, "dscp": [71, 87], "packetsocket": [71, 72], "baldo2009spectrum": 72, "refin": [72, 75], "spectrogram": 72, "microwav": 72, "oven": 72, "adhoc": [72, 81, 82, 84, 86], "aloha": [72, 79], "spectrumsignalparamet": 72, "formal": 72, "spectrumpropagationlossmodel": 72, "phasedarrayspectrumpropagationlossmodel": 72, "propagationdelaymodel": [72, 87], "startrx": [72, 84], "starttx": 72, "proof": 72, "spectrummodel300khz300ghzlog": 72, "spectrummodelism2400mhzres1mhz": 72, "halfduplexidealphi": 72, "spectruminterfer": 72, "shannon": 72, "spectrumerrormodel": 72, "genericphi": 72, "adddit": 72, "halfduplexidealphysignalparamet": 72, "wifispectrumvaluehelp": [72, 84], "alohanoacknetdevic": 72, "spectrumanalyz": 72, "waveformgener": [72, 86], "microwaveoven": 72, "pilot": 72, "thumb": 72, "wifispectrumphi": 72, "bluetoohspectrumphi": 72, "bluetooth": [72, 84], "coexist": [72, 75, 84, 85, 86], "dynamiccast": [72, 81], "maxlossdb": 72, "calclul": 72, "spectrumanalyzerhelp": 72, "surfac": [72, 79], "pm3d": 72, "palett": 72, "freq": 72, "zlabel": 72, "dbw": 72, "splot": 72, "1e6": 72, "disclaim": 72, "shannonspectrumerrormodel": 72, "tvspectrumtransmitt": 72, "vsb": 72, "cofdm": 72, "tvspectrumtransmitterhelp": 72, "8k": 72, "koppcofdm": 72, "vestigi": 72, "sideband": 72, "north": [72, 81], "america": 72, "atsc": 72, "televis": 72, "dvb": 72, "isdb": 72, "countri": 72, "baron8vsb": 72, "qualcommanalog": 72, "ntsc": 72, "pal": 72, "terrain": 72, "geograph": [72, 87], "europ": [72, 81], "japan": 72, "createregionaltvtransmitt": 72, "altitud": 72, "latitud": 72, "longitud": 72, "perfect": [72, 84], "sphere": 72, "bodi": 72, "water": [72, 79], "geographicposit": 72, "ecef": 72, "geo": 72, "matlabgeo": 72, "rand": [72, 88], "cart": 72, "tr38901": 72, "superposit": 72, "zugno": 72, "zhang": 72, "refactor": 72, "reciproc": 72, "clusteraoa": 72, "clusterzoa": 72, "gc": 72, "transpos": 72, "docalcrxpowerspectraldens": 72, "getcurrentbeamformingvector": 72, "getchannel": 72, "channelmatrix": 72, "getparam": 72, "channelparam": 72, "colloc": 72, "threegppchannelparam": 72, "matric": 72, "aod": 72, "aoa": 72, "zod": 72, "zoa": 72, "k_factor": 72, "spread": [72, 79, 84], "subpartit": 72, "setchannelmodelattribut": 72, "getlongterm": 72, "multipli": [72, 75, 84], "m_longtermmap": 72, "calcbeamforminggain": 72, "dispers": 72, "uxsxn": 72, "m_channelmap": 72, "human": 72, "possi": 72, "ble": 72, "geometr": 72, "calcattenuationofblockag": 72, "numnonselfblock": 72, "portraitmod": 72, "blockerspe": 72, "threegppchanneltestsuit": 72, "threegppchannelmatrixcomputationtest": 72, "threegppchannelmatrixupdatetest": 72, "threegppspectrumpropagationlossmodeltest": 72, "calibr": 72, "baron": 72, "stanlei": 72, "dttb": 72, "ieeeghn": 72, "digital_televis": 72, "_the_digital_terrestrial_television_broadcasting_": 72, "_standard": 72, "kopp": 72, "carlo": 72, "australia": 72, "ausairpow": 72, "1100": 72, "geodetic2ecef": 72, "geodet": 72, "inc": 72, "stephen": 72, "shellhamm": 72, "ahm": 72, "sadek": 72, "wenyi": 72, "cognit": 72, "qualcomm": 72, "menglei": 72, "michel": 72, "poles": 72, "marco": 72, "mezzavilla": 72, "sundeep": 72, "rangan": 72, "zorzi": [72, 79, 85], "tommaso": 72, "natal": [72, 75], "patriciello": [72, 75], "biljana": 72, "sandra": 72, "lagen": 72, "arxiv": 72, "09341": 72, "slipperi": 73, "readili": 73, "vmware": 73, "openvz": 73, "camel": 73, "centric": 73, "sta": [73, 81, 84, 87], "incompat": 73, "tunctl": 73, "ifconfig": 73, "hw": 73, "ether": 73, "2e": 73, "tapbridgehelp": 73, "brctl": 73, "encompass": 73, "supportssendfrom": 73, "addbr": 73, "mybridg": 73, "mytap": 73, "addif": 73, "changeabl": 73, "vethx": 73, "vmnetx": 73, "brigd": 73, "ath0": 73, "grai": 73, "toan": 73, "upshot": 73, "reconnect": 73, "destini": [73, 78], "hookabl": 73, "comfort": 73, "ref1": 74, "kuznetsov": 74, "torokhov": 74, "predetermin": [74, 75, 79], "ref3": 74, "peakrat": 74, "throttl": 74, "overlimit": 74, "ref2": 74, "prompt": [74, 84], "tbfqueuedisc": 74, "topmost": 74, "githubusercont": 74, "8efd0d9c316af470377894a6a0f9ff63ce18c177": 74, "sch_tbf": 74, "vehent": 74, "journei": 74, "shape": [74, 84], "linuxwal": 74, "doku": 74, "en": 74, "dossier": 74, "traffic_control": 74, "tbf_": 74, "_token_bucket_filt": 74, "20200516025221": 74, "opalsoft": 74, "125000": 74, "125kb": 74, "0kb": 74, "tokensinfirstbucket": 74, "tokensinsecondbucket": 74, "sourcecod": 74, "1mbp": 74, "tbfqueuedisctestsuit": 74, "firstbuckettokenr": 74, "smoothli": 74, "swap": [75, 80], "tcpsocket": 75, "initialcwnd": 75, "tcpcongestionop": 75, "dce": 75, "newer": [75, 84], "prr": 75, "gtnet": 75, "rilei": 75, "raj": 75, "bhattacharjea": 75, "substanti": 75, "rewritten": 75, "adriam": 75, "tam": 75, "tcpnewreno": 75, "reimplement": 75, "redon": 75, "tcp_congestion_op": 75, "6928": 75, "maurizio": 75, "casoni": 75, "s1569190x15300939": 75, "academ": [75, 85, 88], "3067666": 75, "star": 75, "sinklocaladdress": [75, 80], "sinkhelp": [75, 80], "sinkapp": [75, 80], "servernod": [75, 80], "clienthelp": [75, 80], "settabl": 75, "sockettyp": 75, "twiddl": 75, "n0n1": 75, "zeroth": 75, "lookupbynam": 75, "typeidvalu": 75, "wild": 75, "stringstream": 75, "nodeid": [75, 81], "getid": 75, "specificnod": 75, "str": 75, "lose": 75, "commod": 75, "ephemer": [75, 80], "notifyconnectionrequest": [75, 80], "setacceptcallback": 75, "beforehand": 75, "syn_rcvd": 75, "anymor": 75, "father": 75, "datas": 75, "notifynewconnectioncr": [75, 80], "syn": 75, "shutdownsend": [75, 80], "fin": 75, "getrxavail": 75, "recvfrom": [75, 80], "rcvbufsiz": 75, "grab": [75, 80], "recvcallback": 75, "upload": 75, "syn_sent": 75, "connectionsucceed": [75, 80], "sndbufsiz": 75, "unread": 75, "fin_wait_2": 75, "last_ack": 75, "notifynormalclos": [75, 80], "notifyconnectionsucceed": [75, 80], "setconnectcallback": [75, 80], "1st": [75, 80], "notifysend": [75, 80], "notifyconnectionfail": [75, 80], "setclosecallback": 75, "rare": 75, "time_wait": 75, "dealloc": 75, "notifyerrorclos": [75, 80], "notifydatas": [75, 80], "setdatasentcallback": [75, 80], "snd": 75, "una": 75, "notifydatarecv": [75, 80], "fin_wait_1": 75, "2756518": 75, "6582": 75, "5681": 75, "smss": 75, "ssthresh": 75, "tradition": 75, "tcpcubic": 75, "maco": 75, "microsoft": 75, "grow": 75, "concav": 75, "convex": 75, "hystart": 75, "8312": 75, "tcplinuxreno": 75, "3465": 75, "segack": 75, "segments": 75, "bytes_ack": 75, "cast": 75, "m_cwndcnt": 75, "tcb": 75, "m_cwnd": 75, "m_segments": 75, "ns_log_debug": 75, "linuxrenocongavoid": 75, "segmentsack": 75, "adder": 75, "static_cast": 75, "congavoid": 75, "m_ssthresh": 75, "newrenocongavoid": 75, "friendli": 75, "danger": 75, "collaps": 75, "3649": 75, "aiad": 75, "paradigm": 75, "episod": 75, "regress": 75, "381704": 75, "2512757": 75, "basertt": 75, "diff": 75, "1109": [75, 85], "49": [75, 87], "464716": 75, "ceil": 75, "956989": 75, "jsac": [75, 79], "807336": 75, "tcpbic": 75, "predecessor": 75, "midpoint": 75, "xpl": 75, "articledetail": 75, "1354672": 75, "art": 75, "bdp": 75, "compet": 75, "hstcp": 75, "decongest": 75, "csc": [75, 79], "lsu": 75, "sjpark": 75, "cs7601": 75, "yeah_tcp": 75, "aimd": 75, "sudden": 75, "alphamax": 75, "quad": 75, "k1": 75, "k2": 75, "betamin": 75, "d2": 75, "k3": 75, "k4": 75, "betamax": 75, "dm": 75, "alphamin": 75, "ta": 75, "sumrtt": 75, "cntrtt": 75, "tmin": 75, "tmax": 75, "maxrtt": 75, "d_i": 75, "winthresh": 75, "eta1": 75, "eta2": 75, "eta3": 75, "1190095": 75, "1190166": 75, "deltal": 75, "tcphtcp": 75, "transport_prot": 75, "20mbp": 75, "hamilton": 75, "htcp3": 75, "leith": 75, "htcp": 75, "seek": 75, "currentdelai": 75, "basedelai": 75, "queuingdelai": 75, "offtarget": 75, "bytesnewlyack": 75, "mss": 75, "6817": 75, "targetdelai": 75, "basehistorylen": 75, "noisefilterlen": 75, "tcpledbat": 75, "nodelistpriv": 75, "synchronis": 75, "rfc6817": 75, "smooth": [75, 84], "owdmin": 75, "owdmax": 75, "lp_within_inf": 75, "infer": 75, "northwestern": 75, "akuzma": 75, "8257": 75, "shallow": 75, "datacent": 75, "ec": 75, "cwr": 75, "ewma": 75, "0625": 75, "tcpdctcp": 75, "forti": 75, "instant": 75, "elig": 75, "rfc8257": 75, "tcpbbr": 75, "regul": 75, "inflight": 75, "agnost": 75, "pacing_gain": 75, "cwnd_gain": 75, "sent_tim": 75, "update_minimum_rtt": 75, "delivery_r": 75, "estimate_delivery_r": 75, "update_maximum_bandwidth": 75, "max_bandwidth": 75, "min_rtt": 75, "nextsendtim": 75, "tester": 75, "nest": 75, "cardwel": 75, "cheng": 75, "viyom": 75, "mittal": 75, "3199902": 75, "3199911": 75, "ecn_dis": 75, "ecn_idl": 75, "pertain": [75, 84], "ecn_ce_rcvd": 75, "ecn_sending_ec": 75, "ecn_ece_rcvd": 75, "ecn_cwr_sent": 75, "ecnstates_t": 75, "classicecn": 75, "dctcpecn": 75, "ecnmode_t": 75, "m_ecnmod": 75, "useecn_t": 75, "m_useecn": 75, "acceptonli": 75, "sendemptypacket": 75, "m_ecnstat": 75, "getflag": 75, "histor": 75, "thin": 75, "disrupt": 75, "pragu": 75, "sch_fq": 75, "88": 75, "tcpm": 75, "tso": 75, "edm": 75, "lwn": 75, "766564": 75, "pub": [75, 79], "scm": 75, "commit": 75, "43e122b014c9": 75, "iw": 75, "tcpgeneraltest": 75, "cong": 75, "datasentcb": 75, "bug2211": 75, "retr": 75, "pktsack": 75, "rto": 75, "wscale": 75, "nagl": 75, "ss": [75, 88], "50mbp": 75, "50m": [75, 81, 86], "probe_rtt": 75, "mohittahiliani": 75, "scratch": 75, "getnam": 75, "getssthresh": 75, "tcpsocketst": 75, "bytesinflight": 75, "increasewindow": 75, "cwndevent": 75, "tcpcaevent_t": 75, "6675": 75, "tcp_add_reno_sack": 75, "tcptxbuffer": 75, "scoreboard": 75, "comment": 75, "diffent": 75, "dupackcount": 75, "inflat": 75, "6937": 75, "sndcnt": 75, "prrdeliv": 75, "recoverf": 75, "prrout": 75, "crb": 75, "ssrb": 75, "delivereddata": 75, "represet": 75, "2068832": 75, "rfc6937": 75, "tcprecoveryop": 75, "enterrecoveri": 75, "unackdatacount": 75, "issacken": 75, "lastdeliveredbyt": 75, "dorecoveri": 75, "exitrecoveri": 75, "updatebytess": 75, "bytess": 75, "ajust": 75, "deliveredstamp": 75, "d0": 75, "t0": 75, "tcptxitem": 75, "t1": 75, "tcprateop": 75, "subsystem": [75, 87, 88], "1571": 75, "processedack": 75, "craft": [75, 86], "teardown": 75, "rwnd": 75, "tcpzerowindowtest": 75, "desc": 75, "10k": 75, "uint32_max": 75, "5000": 75, "configureenviron": 75, "setapppktcount": 75, "setmtu": 75, "settransmitstart": 75, "setpropagationdelai": [75, 87], "configureproperti": 75, "exhaust": [75, 84], "setinitialcwnd": 75, "setsomevalu": 75, "createreceiversocket": 75, "tcpsocketmsgbas": 75, "increasebufs": 75, "setrcvbufs": 75, "2500": 75, "rxbuffer": 75, "friendship": 75, "tcpgeneralsocket": 75, "forcefulli": 75, "socketwho": 75, "m_sendersocket": 75, "m_receiversocket": 75, "treceiv": 75, "ns_test_assert_msg_eq": 75, "getwindows": 75, "pratic": 75, "gdb": 75, "arg": 75, "tcpzerowindowtestsuit": 75, "4477": 75, "seq": 75, "win": 75, "32768": 75, "tcpoptionwinscal": 75, "tcpoptiont": 75, "tcpoptionend": 75, "eol": 75, "cut": 75, "threewai": 75, "thankfulli": 75, "persistentev": 75, "getpersistentev": 75, "isrun": 75, "m_zerowindowprob": 75, "getsequencenumb": 75, "sequencenumber32": 75, "safest": 75, "deni": 75, "getcongstatefrom": 75, "gettcb": 75, "ca_open": 75, "m_windowupd": 75, "normalclos": 75, "m_senderfinish": 75, "m_receiverfinish": 75, "finalcheck": 75, "testsuit": 75, "crash": 75, "valgrind": 75, "magic": 75, "writ": 75, "hopefulli": 75, "11633": 75, "6b74df04cf44": 75, "orbistopologyread": 76, "orbi": 76, "inettopologyread": 76, "inet": 76, "rocketfueltopologyread": 76, "rocketfuel": 76, "topologyreaderhelp": 76, "archipelago": 76, "monthli": 76, "caida": 76, "websit": 76, "notat": 76, "tripl": 76, "compact": 76, "prune": 76, "rescal": 76, "requeu": 77, "prio": 77, "tbf": 77, "nonlinear": 77, "nlred": 77, "fqcobalt": 77, "downward": 78, "l3protocol": 78, "answer": [78, 81], "paragraph": 78, "adddevic": 78, "setnod": 78, "nonpromiscreceivefromdevic": 78, "deviceadditionlisten": 78, "BY": 78, "anyon": 78, "receivefromdevic": 78, "from_addr": 78, "to_addr": 78, "arpl3": 78, "ipv4l3": 78, "icmpv4": 78, "ipv6l3": 78, "icmpv6l4": 78, "rxtrace": 78, "residu": 78, "pad": 78, "ipmulticastforward": 78, "routeinputerror": 78, "underwat": 79, "autonom": 79, "offshor": 79, "oil": 79, "industri": 79, "pollut": 79, "speech": 79, "diver": 79, "ocean": 79, "mine": 79, "seismic": 79, "climat": 79, "whoi": 79, "propel": 79, "remu": 79, "seaglid": 79, "thorp": 79, "bellhop": 79, "uanpropmodel": 79, "pdp": 79, "getpdp": 79, "uanpdp": 79, "utilis": 79, "1upa": 79, "uanpropmodelid": 79, "uanpropmodelthorp": 79, "cylindr": 79, "harri": 79, "nant": 79, "octob": [79, 81, 85], "institut": 79, "social": 79, "informat": 79, "brussel": 79, "belgium": 79, "uantxmod": 79, "uanpropmodelbh": 79, "oalib": 79, "hlsresearch": 79, "email": 79, "lentraci": 79, "gmail": 79, "feel": 79, "uanphygen": 79, "transduc": 79, "pertin": 79, "uanphypergendefault": 79, "prob": 79, "micromodem": 79, "fh": 79, "fsk": 79, "uanphyperumodem": 79, "convolut": [79, 85, 88], "uanphycalcsinrdefault": 79, "ambient": 79, "uanphycalcsinrfhfsk": 79, "uanphycalcsinrdu": 79, "uanphydu": 79, "primarili": 79, "uanmacrc": 79, "myriad": 79, "cw": 79, "dcf": [79, 84, 85, 86], "parrish": 79, "traci": 79, "roi": [79, 85], "arabshahi": 79, "fox": 79, "undersea": 79, "rc": 79, "uanmacrcgw": 79, "ct": [79, 84], "uanmacaloha": 79, "navig": 79, "pitch": 79, "submerg": 79, "sea": 79, "glider": 79, "submarin": 79, "buoyanc": 79, "wing": 79, "saw": 79, "tooth": 79, "glide": 79, "auvmobilitymodel": 79, "remusmobilitymodel": 79, "glidermobilitymodel": 79, "constantvelocitymodel": 79, "lithium": 79, "mission": 79, "gliderenergymodel": 79, "remusenergymodel": 79, "brush": 79, "radioenergymodel": 79, "mw": [79, 86], "updatepowerconsumpt": 79, "acousticmodemenergymodel": 79, "panason": 79, "cgr18650da": 79, "electrochem": 79, "3b36": 79, "sulfuryl": 79, "chlorid": 79, "deatil": 79, "bingham": 79, "drake": 79, "hill": 79, "lott": 79, "vision": 79, "fig_2002": 79, "ts4": 79, "ts4_4_bingham_et": 79, "auvfest2008": 79, "oceanexplor": 79, "noaa": 79, "gov": 79, "08auvfest": 79, "hydroinc": 79, "huntington": 79, "ingal": 79, "tsd": 79, "huntingtoningal": 79, "unman": 79, "pid": 79, "29856": 79, "eriksen": 79, "oss": 79, "wen": 79, "lehman": 79, "sabin": 79, "ballard": 79, "chiodi": 79, "oceanograph": 79, "tp": 79, "972073": 79, "usertyp": 79, "inst": 79, "freitag": 79, "grund": 79, "singh": 79, "partan": 79, "koski": 79, "ball": 79, "oceans05": 79, "2005": [79, 85], "iel5": 79, "10918": 79, "34367": 79, "01639901": 79, "shepherd": 79, "naval": 79, "1963": 79, "tremblai": 79, "dessaint": 79, "dekkich": 79, "ecol": 79, "superieur": 79, "universit": 79, "du": 79, "quebec": 79, "4544139": 79, "datasheet": 79, "panasonic_liion_cgr18650da": 79, "highrat": 79, "138g": 79, "auvmobilityhelp": 79, "tracepo": 79, "addwaypoint": 79, "liionenergysourc": 79, "descend": 79, "uannetdevic": 79, "energysourc": 79, "energymodelcallback": 79, "energydepletioncallback": 79, "10v": 79, "24v": 79, "dd": 79, "mj": 79, "10mj": 79, "ah": 79, "pump": 79, "micromodemenergymodel": 79, "kwh": 79, "salesman": 79, "connectionless": 80, "reorder": 80, "catch": 80, "udpsocketfactoryimpl": 80, "ipl4protocol": 80, "768": 80, "dialogu": 80, "remoteaddress": 80, "clientnod": 80, "m_deliveryqueu": 80, "counterpart": 80, "udpsocketimpltest": 80, "udpsocketloopbacktest": 80, "udp6socketimpltest": 80, "udp6socketloopbacktest": 80, "udp_cork": 80, "ieee80211p": 81, "ieee1609dot4": 81, "11p": [81, 84, 87], "1609": 81, "ieee1609dot1": 81, "ieee1609dot2": 81, "ieee1609dot3": 81, "sae": 81, "j2735": 81, "saej2735": 81, "dsrc": 81, "ocb": 81, "ocbwifimac": 81, "bssid": 81, "wildcard": 81, "vsa": 81, "biggest": 81, "vanet": 81, "wifi80211phelp": 81, "organizationidentifi": 81, "vendorspecificactionhead": 81, "setbssid": 81, "getbssid": 81, "setssid": 81, "getssid": 81, "unus": [81, 84], "setlinkupcallback": 81, "setlinkdowncallback": 81, "sendvsc": 81, "addreceivevsccallback": 81, "wsa": 81, "sendtimingadvertis": 81, "gp": 81, "configureedca": 81, "edca": [81, 84], "cch": 81, "subtyp": [81, 84], "hear": 81, "intrus": 81, "wavenetdevic": [81, 84], "inhert": 81, "netdeivc": 81, "channelschedul": 81, "channelmanag": 81, "channelcoordin": 81, "vsamanag": 81, "wifiphi": [81, 82, 86], "higherlayertxvectortag": 81, "wavemaclow": 81, "addmac": 81, "getmac": 81, "woken": 81, "addphi": [81, 84], "getphi": 81, "setchannelschedul": 81, "getchannelschedul": 81, "implementor": 81, "defaultchannelschedul": 81, "setchannelmanag": 81, "getchannelmanag": 81, "setvsamanag": 81, "getvsamanag": 81, "setchannelcoordin": 81, "getchannelcoordin": 81, "startsch": 81, "stopsch": 81, "changeaddress": 81, "canceltx": 81, "registertxprofil": 81, "deletetxprofil": 81, "startvsa": 81, "stopvsa": 81, "setwavevsacallback": 81, "sendx": 81, "wsmp": 81, "categor": 81, "guardintev": 81, "cchi": 81, "schi": 81, "guardi": 81, "ma": 81, "unitdatax": 81, "unitdata": 81, "mlmex": 81, "continuousaccess": 81, "extendedaccess": 81, "alternatingaccess": 81, "guard": [81, 86, 87], "80211a": 81, "10mhz": 81, "wifi_phy_standard_80211_10mhz": 81, "wifi_phy_standard_80211_20mhz": 81, "eirp": 81, "txpowerstart": 81, "txpowerend": 81, "txpowerlevel": 81, "yanswifiphi": [81, 82, 86, 87], "randomwaypointmobilitymodel": 81, "rwp": 81, "mobilti": 81, "playback": 81, "parti": 81, "ns2mobilityhelp": 81, "vehicualr": 81, "vanetroutingexampl": 81, "parametr": 81, "j2375": 81, "cam": 81, "decentr": 81, "denm": 81, "bsm": 81, "alert": 81, "ITS": 81, "g5": 81, "itsg5netdevic": 81, "dictionari": 81, "yanswavephyhelp": 81, "nqoswavemachelp": 81, "qoswavemachelp": 81, "wifihelp": [81, 82, 84], "wifimachelp": [81, 82], "nqoswavehelp": 81, "nqo": 81, "codebas": 81, "yanswifiphyhelp": [81, 82], "yanswifichannelhelp": [81, 82], "wifichannel": [81, 87], "setchannel": [81, 87], "nqoswave80211pmachelp": 81, "wifi80211pmac": 81, "80211phelper": 81, "wavephi": 81, "wavemac": 81, "wavebsmstat": 81, "wavebsmhelp": 81, "pdr": 81, "m_wavebsmhelp": 81, "m_adhoctxnod": 81, "m_adhoctxdevic": 81, "m_adhoctxinterfac": 81, "m_totalsimtim": 81, "100m": 81, "m_waveinterv": 81, "40n": 81, "m_gpsaccuracyn": 81, "500m": 81, "600m": 81, "800m": 81, "1000m": 81, "1500m": 81, "m_txsafetyrang": 81, "m_streamindex": 81, "m_wavepackets": 81, "bsm_pdr1": 81, "getwavebsmstat": 81, "getbsmpdr": 81, "cumulativewavebsmbyt": 81, "gettxbytecount": 81, "wavepktss": 81, "gettxpktcount": 81, "wavepktsreceiv": 81, "getrxpktcount": 81, "setrxpktcount": 81, "settxpktcount": 81, "getnodesmov": 81, "oi_byt": 81, "0x00": 81, "0x50": 81, "0xc2": 81, "0x4a": 81, "0x40": 81, "oi": 81, "vsccallback": 81, "vsccall": 81, "vsaexampl": 81, "getwsaandoi": 81, "device1": 81, "getdevic": 81, "ocb1": 81, "vsc": 81, "ocb2": 81, "getbroadcast": 81, "m_16093oi": 81, "wavenetdeviceexampl": 81, "receivevsa": 81, "schinfo": 81, "sch1": 81, "extended_altern": 81, "extended_continu": 81, "6mbp": 81, "txprofil": 81, "wifimod": [81, 84], "ofdmrate6mbpsbw10mhz": 81, "txinfo": 81, "wsmp_prot_numb": 81, "0x88dc": 81, "wsapacket": 81, "wifiremotestationmanag": [81, 84, 87], "ipv6_prot_numb": 81, "0x86dd": 81, "vsainfo": 81, "vsa_transmit_in_bothi": 81, "newaddress": 81, "wavevsacallback": 81, "178": [81, 87], "channelcoodin": 81, "isvalidconfig": 81, "cchinterv": 81, "schinterv": 81, "guardinterv": 81, "phyentiti": [81, 82], "macent": 81, "enablelogcompon": 81, "sch2": 81, "sch6": 81, "channelsvector": 81, "createmacforchannel": 81, "anotherschedul": 81, "getwavechannel": 81, "createphi": 81, "setremotestationmanag": [81, 87], "constantratewifimanag": [81, 82, 87], "80211p": 81, "raleigh": 81, "nc": 81, "sumo": 81, "downtown": 81, "750": 81, "carolina": 81, "ncsu": 81, "hpc": 81, "dai": 81, "preliminari": [81, 86], "phd": 81, "22nd": 81, "icnp": 81, "park": 81, "20170808113648": 81, "www4": 81, "scarpen": 81, "research_fil": 81, "phd_forum_se_carpenter_2014": 81, "wifippdu": 82, "wifiphystatehelp": 82, "interferencehelp": 82, "errorratemodel": [82, 87], "tablebasederrorratemodel": [82, 87], "spectrumwifiphi": [82, 87], "wifidefaultackmanag": [82, 87], "wifidefaultprotectionmanag": 82, "idealwifimanag": 82, "thompsonsamplingwifimanag": 82, "minstrelwifimanag": 82, "minstrelhtwifimanag": 82, "11ax": [82, 85, 86, 87], "obss": [82, 87], "setstandard": 82, "channelwidth": 82, "channelnumb": [82, 83], "primary20mhzindex": 82, "spectrumwifiphyhelp": 82, "ht": [82, 84, 86], "vht": [82, 84, 86], "bianchi": [82, 85], "channelset": [82, 87], "wifiphyhelp": [83, 84, 87], "band_5ghz": [83, 87], "11a": [84, 85, 86, 87], "11b": [84, 85, 86, 87], "11g": [84, 86, 87], "11ac": [84, 86, 87], "11be": 84, "mpdu": [84, 87], "aarf": 84, "arf": 84, "cara": [84, 85], "ono": 84, "rraa": 84, "constantr": 84, "minstrel": [84, 85], "blockack": 84, "hierarchi": 84, "critic": 84, "mld": 84, "frameexchangemanag": 84, "channelaccessmanag": 84, "sibl": 84, "topolog": 84, "apwifimac": [84, 87], "stawifimac": [84, 87], "ibss": 84, "qossupport": [84, 87], "wmm": [84, 87], "edcaf": 84, "txop": 84, "qostxop": 84, "bookkeep": 84, "entitl": 84, "yan": [84, 87], "decomposit": 84, "rif": 84, "pcf": [84, 86], "hcf": 84, "hcca": 84, "encrypt": 84, "eht": 84, "punctur": [84, 85], "bssbasicrateset": 84, "mbit": [84, 86], "operationalrateset": 84, "restructur": 84, "dmg": 84, "claus": 84, "ambigu": 84, "dsssphy": 84, "dsss": 84, "ofdmphi": 84, "erpofdmphi": 84, "erp": 84, "htphy": 84, "vhtphy": 84, "hephi": 84, "ehtphi": 84, "vein": 84, "dsssppdu": 84, "ofdmppdu": 84, "erpofdmppdu": 84, "htppdu": 84, "vhtppdu": 84, "heppdu": 84, "ehtppdu": 84, "behalf": 84, "cca_busi": 84, "startreceivepreambl": 84, "rxsensit": 84, "temperatur": 84, "fold": 84, "weak": 84, "upward": 84, "framecapturemodel": 84, "startpreambledetectionperiod": 84, "endpreambledetectionperiod": 84, "endreceivefield": 84, "thresholdpreambledetectionmodel": 84, "lanante2019": [84, 85], "startreceivefield": 84, "phyrxpayloadbegin": 84, "rxstart": 84, "startreceivepayload": 84, "ccasensit": 84, "ccaedthreshold": 84, "62": [84, 86, 87], "bond": [84, 86], "vhtconfigur": 84, "secondaryccasensitivitythreshold": 84, "scheduleendofmpdu": 84, "rise": 84, "snir": 84, "stbc": 84, "nss": 84, "yanserrorratemodel": 84, "dssserrorratemodel": 84, "nisterrorratemodel": 84, "patidar2017": [84, 85], "tgn": [84, 85], "erceg2004": [84, 85], "pei80211ofdm": [84, 85], "pei80211b": [84, 85], "lacage2006yan": [84, 85], "haccoun": [84, 85], "hepner2015": [84, 85], "frenger": [84, 85], "scientif": [84, 86], "gsl": [84, 86], "pursley2009": [84, 85], "cck": 84, "backup": 84, "nist": [84, 86], "p802": [84, 85], "tgax": 84, "porat2016": [84, 85], "bcc": 84, "fec": [84, 88], "extrapol": 84, "1458": 84, "ldpc": 84, "amplifi": 84, "40000": 84, "dbpsk": 84, "proakis2001": [84, 85], "dqpsk": [84, 85], "ferrari2004": [84, 85], "miller2003": [84, 85], "chernoff": 84, "diverg": 84, "foreign": 84, "unintend": 84, "ssid": [84, 87], "easier": 84, "yanswifi": 84, "spectrumwifi": 84, "wifispectrumphyinterfac": 84, "wifiassocmanag": 84, "wifidefaultassocmanag": 84, "criterium": 84, "affili": 84, "refus": 84, "reassoci": 84, "dwell": 84, "omit": 84, "recur": 84, "ji2004sslswn": [84, 85], "lazili": 84, "claim": 84, "simpler": 84, "dif": 84, "eif": 84, "whichev": 84, "holder": 84, "txnav": 84, "sif": [84, 87], "aifsn": 84, "slottim": 84, "secondary20": 84, "primary20": [84, 87], "nav": 84, "qosframeexchangemanag": 84, "truncat": 84, "cf": 84, "htframeexchangemanag": 84, "implicit": 84, "vhtframeexchangemanag": 84, "heframeexchangemanag": 84, "maxdelai": 84, "ackedmpdu": 84, "nackedmpdu": 84, "droppedmpdu": 84, "mpduresponsetimeout": 84, "psduresponsetimeout": 84, "psdumapresponsetimeout": 84, "held": 84, "wifimacqueueschedul": 84, "fcfswifiqueueschedul": 84, "blockackrequest": 84, "bsrp": 84, "multiuserschedul": 84, "accessreqinterv": 84, "accessreqac": 84, "delayaccessrequponaccess": 84, "rrmultiuserschedul": [84, 87], "nstation": 84, "recipi": 84, "ru": 84, "tone": 84, "usecentral26tonesru": 84, "enableulofdma": [84, 87], "enablebsrp": [84, 87], "wifiackmanag": 84, "useexplicitbar": 84, "bathreshold": [84, 87], "dlmuacksequencetyp": [84, 87], "wifiprotectionmanag": 84, "arfwifimanag": [84, 87], "onoewifimanag": 84, "aarfwifimanag": 84, "lacage2004aarfamrr": [84, 85], "amrrwifimanag": 84, "carawifimanag": 84, "kim2006cara": [84, 85], "rraawifimanag": 84, "wong2006rraa": [84, 85], "aarfcdwifimanag": 84, "maguolo2008aarfcd": [84, 85], "parfwifimanag": 84, "akella2007parf": [84, 85], "aparfwifimanag": 84, "chevillat2005aparf": [84, 85], "krotov2020r": [84, 85], "datamod": [84, 87], "controlmod": [84, 87], "nonunicastmod": 84, "ofdmrate6mbp": 84, "snrtag": 84, "berthreshold": 84, "1e": 84, "led": 84, "thompson": 84, "arm": 84, "bandit": 84, "alpha_i": 84, "unsuccess": 84, "beta_i": 84, "q_i": 84, "decai": 84, "baselin": [84, 86], "madwifi": 84, "percent": 84, "lookaround": 84, "linuxminstrel": [84, 85], "obsspdalgorithm": 84, "constantobsspdalgorithm": [84, 87], "receivehesig": 84, "receivempdu": 84, "threhold": 84, "pei": 85, "tom": 85, "henderson": 85, "lacag": 85, "viterbi": 85, "315": 85, "319": 85, "p\u00e2l": 85, "ji": 85, "takai": 85, "bagrodia": 85, "manshaei": 85, "turletti": [85, 88], "7th": 85, "kim": 85, "choi": 85, "qiao": 85, "25th": 85, "lu": 85, "bharghavan": 85, "12th": 85, "maguolo": 85, "proaki": 85, "miller": 85, "uwb": 85, "ferrari": 85, "corazza": 85, "tight": 85, "letter": 85, "1284": 85, "85": [85, 87], "purslei": 85, "royster": 85, "complementari": 85, "440": 85, "449": 85, "akella": 85, "judd": 85, "seshan": 85, "steenkist": 85, "chaotic": 85, "kluwer": 85, "737": 85, "755": 85, "20200709172505": 85, "odu": 85, "nadeem": 85, "cs795": 85, "wn": 85, "s13": 85, "006": 85, "chevillat": 85, "jelitto": 85, "truong": 85, "springer": 85, "145": [85, 87], "20170810111231": 85, "mun": 85, "yzchen": 85, "rate_adapt": 85, "80211_dynamic_rate_power_adjustment_chevillat_j2005": 85, "bw": 85, "sincom": 85, "uk": 85, "75487102": 85, "baldo2010": [85, 86], "lanant": 85, "jr": 85, "bianchi2000": [85, 86], "535": 85, "547": 85, "bianchi2005": [85, 86], "tinnirello": 85, "remark": 85, "765": 85, "767": 85, "patidar": 85, "3067665": 85, "3067671": 85, "schumach": 85, "kyritsi": 85, "940r4": 85, "porat": 85, "0571r3": 85, "krotov": 85, "kiryanov": 85, "khorov": 85, "dens": 85, "magrin2021mu": [85, 86], "magrin": 85, "2021": 85, "avallone2021wcm": [85, 86], "redieteab": 85, "ghosh": 85, "Will": 85, "magazin": 85, "mwc": 85, "2000332": 85, "clarif": 86, "80211b": 86, "rxnoisefigur": 86, "shift": 86, "leftward": 86, "rightward": 86, "toggl": 86, "wifityp": 86, "isn": 86, "tput": 86, "81381": 86, "4937": 86, "8266": 86, "10043": 86, "7935": [86, 87], "15110": 86, "7958": 86, "20207": 86, "7331": 86, "30344": 86, "47": [86, 87], "6174": 86, "40436": 86, "6102": 86, "45525": 86, "5501": 86, "50569": 86, "902": 86, "216459": 86, "monitorsnifferrx": 86, "77": [86, 87], "7414": 86, "14892": 86, "22358": 86, "96": 86, "29521": 86, "unlicens": 86, "waveformpow": 86, "noi": 86, "inf": 86, "29875": 86, "44877": 86, "59813": 86, "67374": 86, "74819": 86, "29873": 86, "531": 86, "regener": 86, "generate_bianchi": 86, "vizual": 86, "infrastuctur": 86, "phyrat": 86, "infra": [86, 87], "parameter": 87, "constitu": 87, "wifidevic": 87, "wifiapnod": 87, "wifi_standard_80211n": 87, "wifistadevic": 87, "wifiapdevic": 87, "settyp": 87, "wonder": 87, "propagationloss": 87, "propagationdelai": 87, "wifichannelhelp": 87, "constantspeedpropagationdelaymodel": 87, "6777": 87, "addpropagationloss": 87, "chainabl": 87, "supplement": 87, "seterrorratemodel": 87, "setpcapdatalinktyp": 87, "supportedpcapdatalinktyp": 87, "dlt": 87, "radiotap": 87, "prism": 87, "ve": 87, "maxsupportedtxspatialstream": 87, "maxsupportedrxspatialstream": 87, "downstream": 87, "upstream": 87, "wifi_standard_80211ac": 87, "vhtmcs9": 87, "vhtmcs0": 87, "ssidvalu": 87, "activeprob": 87, "stadevic": 87, "inconsist": 87, "tuplevalu": 87, "channeltupl": 87, "wifi_phy_band_5ghz": 87, "wifistandard": 87, "guidanc": 87, "wifi_standard_80211a": 87, "wifi_standard_80211b": 87, "wifi_standard_80211g": 87, "wifi_standard_80211p": 87, "wifi_standard_80211ax": 87, "unspecifi": 87, "wifi_phy_band_unspecifi": 87, "band_unspecifi": 87, "phyhelp": 87, "band_2_4ghz": 87, "2412": 87, "5180": 87, "4ghz": 87, "108": 87, "112": 87, "116": 87, "136": 87, "144": 87, "149": 87, "153": 87, "161": 87, "165": 87, "169": 87, "102": 87, "110": 87, "118": 87, "134": 87, "151": 87, "138": 87, "174": 87, "180": 87, "182": 87, "171": 87, "173": 87, "175": 87, "177": 87, "179": 87, "181": 87, "73": 87, "105": 87, "113": 87, "121": 87, "129": 87, "137": 87, "141": 87, "157": 87, "185": 87, "189": 87, "193": 87, "197": 87, "201": 87, "209": 87, "221": 87, "225": 87, "229": 87, "233": 87, "83": 87, "91": 87, "147": 87, "163": 87, "187": 87, "203": 87, "219": 87, "227": 87, "103": 87, "135": 87, "167": 87, "199": 87, "215": 87, "111": 87, "143": 87, "207": 87, "1321": 87, "wifiphystandard": 87, "20mhz": 87, "beacongener": 87, "beaconinterv": 87, "be_blockackthreshold": 87, "vo_maxampdus": 87, "be_maxamsdus": 87, "setmultiuserschedul": 87, "wifiacknowledg": 87, "dl_mu_aggregate_tf": 87, "qostag": 87, "selectqueu": 87, "diffserv": 87, "phb": 87, "101110xx": 87, "001010xx": 87, "af21": 87, "010010xx": 87, "af31": 87, "011010xx": 87, "af41": 87, "100010xx": 87, "af12": 87, "001100xx": 87, "af22": 87, "010100xx": 87, "011100xx": 87, "af42": 87, "100100xx": 87, "af13": 87, "001110xx": 87, "af23": 87, "010110xx": 87, "af33": 87, "011110xx": 87, "af43": 87, "100110xx": 87, "cs0": 87, "000000xx": 87, "cs1": 87, "001000xx": 87, "cs2": 87, "010000xx": 87, "cs3": 87, "011000xx": 87, "cs4": 87, "100000xx": 87, "cs5": 87, "101000xx": 87, "cs6": 87, "110000xx": 87, "111000xx": 87, "0xc0": 87, "ns3wifi": 87, "remotestationmanag": 87, "wificontain": 87, "htmcs7": 87, "htmcs0": 87, "pif": 87, "selector": 87, "constantwifiackpolicyselector": 87, "BE": [87, 88], "setackpolicyselectorforac": 87, "setobsspdalgorithm": 87, "obsspdlevel": 87, "synonym": 87, "nd": 87, "wnd": 87, "htconfigur": 87, "gethtconfigur": 87, "setshortguardintervalsupport": 87, "shortguardintervalsupport": 87, "3200": 87, "1600": 87, "heconfigur": 87, "getheconfigur": 87, "setguardinterv": 87, "setmpdubuffers": 87, "maxtbppdudelai": 87, "12mbp": 87, "phymod": 87, "ofdmrate12mbp": 87, "dlt_ieee802_11_radio": 87, "dsssrate1mbp": 87, "referenceloss": 87, "0459": 87, "wimaxnetdevic": 88, "ismail": 88, "farooq": 88, "subscriberstationnetdevic": 88, "basestationnetdevic": 88, "simpleofdmwimaxchannel": 88, "fcf": 88, "rtp": 88, "mbqo": 88, "wirelessman": 88, "ug": 88, "nrtp": 88, "arq": 88, "ertp": 88, "schedtyp": 88, "wimaxhelp": 88, "sched_type_simpl": 88, "sched_type_mbqo": 88, "sched_type_rtp": 88, "ssnode": 88, "bsnode": 88, "ssdev": 88, "bsdev": 88, "device_type_subscriber_st": 88, "simple_phy_type_ofdm": 88, "device_type_base_st": 88, "setpropagationmodel": 88, "cost231_propag": 88, "serviceflow": 88, "multicastserviceflow": 88, "createserviceflow": 88, "sf_direction_down": 88, "sf_type_ug": 88, "multicastclassifi": 88, "getserviceflowmanag": 88, "addmulticastserviceflow": 88, "modulation_type_qpsk_12": 88, "simpleofdmwimaxphi": 88, "txgain": 88, "rxgain": 88, "nfft": 88, "fft": 88, "tracefilepath": 88, "sophist": 88, "asciitracehelp": 88, "enableasciial": 88, "createfilestream": 88, "enableasciiforconnect": 88, "dst": 88, "ipcsclassifi": 88, "ipcsclassifierrecord": 88, "sss": 88, "subdivid": 88, "bsschedul": 88, "uplinkschedul": 88, "linkmanag": 88, "dcd": 88, "ucd": 88, "wimaxconnect": 88, "connectionmanag": 88, "unsolicit": 88, "111a": 88, "111d": 88, "16e": 88, "bsschedulersimpl": 88, "uplinkschedulersimpl": 88, "redistribut": 88, "bsschedulerrtp": 88, "uplinkschedulerrtp": 88, "deadlin": 88, "uplinkschedulermbqo": 88, "ssschedul": 88, "man": 88, "schema": 88, "m_phylist": 88, "p_tx": 88, "reconstruct": 88, "snrtoblockerrorratemanag": 88, "blcer": 88, "snr_rx": 88, "IT": 88, "reed": 88, "solomon": 88, "coder": 88, "interleav": 88, "tdl_channel": 88, "modulation0": 88, "modulation1": 88, "snr_value1": 88, "blc_er": 88, "standard_devi": 88, "confidence_interval1": 88, "confidence_interval2": 88, "snr_value2": 88}, "objects": {}, "objtypes": {}, "objnames": {}, "titleterms": {"anim": 0, "netanim": 0, "methodologi": 0, "download": [0, 19], "build": [0, 6, 8, 9, 10, 12, 13, 14, 18, 39, 42, 43, 56], "prerequisit": [0, 18], "step": [0, 29], "usag": [0, 6, 8, 14, 19, 23, 24, 25, 27, 35, 36, 37, 40, 43, 49, 51, 54, 55, 56, 64, 65, 66, 70, 72, 75, 79, 80, 81], "1": [0, 12, 19, 39, 42], "gener": [0, 36, 43, 80], "xml": 0, "trace": [0, 17, 18, 23, 35, 43, 49, 55, 56, 61, 73, 79, 88], "file": [0, 25], "mandatori": 0, "option": [0, 68, 71], "2": [0, 12, 19, 42, 49], "load": [0, 19], "wiki": 0, "antenna": [1, 2, 39, 42], "modul": [1, 9, 32, 38, 39, 42, 44, 52, 72, 79, 82], "design": [2, 5, 6, 8, 10, 14, 19, 23, 24, 25, 27, 37, 39, 45, 49, 51, 56, 58, 64, 65, 66, 70, 72, 79, 81, 84], "document": [2, 3, 4, 10, 12, 13, 32, 39, 40, 42, 43, 44, 45, 47, 48, 54, 84, 86, 87], "overview": [2, 10, 12, 17, 20, 21, 22, 39, 40, 42, 43, 45, 53, 58, 61, 68, 73, 75, 79, 84], "angl": [2, 3], "antennamodel": [2, 43], "singl": 2, "model": [2, 5, 6, 8, 10, 12, 13, 14, 15, 16, 17, 19, 23, 24, 25, 26, 27, 28, 29, 30, 31, 33, 36, 37, 39, 40, 42, 43, 45, 49, 50, 51, 54, 55, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 70, 72, 73, 74, 75, 79, 80, 81, 84, 86, 88], "isotropicantennamodel": [2, 3], "cosineantennamodel": [2, 3], "parabolicantennamodel": [2, 3], "threegppantennamodel": 2, "phase": 2, "arrai": 2, "uniformplanararrai": 2, "test": [3, 6, 12, 32, 37, 42, 47, 63, 70, 72, 75, 86], "degreestoradian": 3, "user": [4, 13, 39, 43, 48, 84, 86, 87], "ad": [5, 58, 75], "hoc": 5, "On": 5, "demand": 5, "distanc": 5, "vector": [5, 54], "aodv": 5, "descript": [5, 6, 8, 12, 14, 15, 16, 19, 23, 24, 25, 26, 27, 28, 29, 30, 36, 37, 40, 42, 49, 50, 51, 54, 55, 56, 59, 60, 62, 64, 65, 66, 67, 70, 72, 74, 78, 79, 81], "scope": [5, 14, 19, 24, 25, 27, 32, 37, 45, 49, 51, 54, 55, 56, 70, 79, 81, 84, 88], "limit": [5, 14, 19, 21, 24, 25, 27, 32, 37, 45, 49, 51, 54, 55, 56, 66, 68, 70, 75, 79, 80, 81, 84], "futur": [5, 23, 56, 79], "work": [5, 23, 56, 79], "3gpp": [6, 72], "http": 6, "applic": [6, 32, 33, 75, 80], "server": 6, "client": [6, 87], "refer": [6, 8, 11, 14, 15, 16, 20, 21, 23, 24, 27, 28, 29, 30, 37, 40, 41, 43, 46, 49, 55, 56, 60, 63, 67, 70, 72, 74, 79, 81, 85], "exampl": [6, 8, 14, 15, 16, 18, 19, 21, 23, 24, 25, 27, 28, 29, 30, 32, 34, 36, 37, 43, 49, 50, 51, 54, 55, 56, 59, 60, 62, 63, 64, 67, 70, 71, 72, 74, 79, 81, 87], "bridg": [7, 73], "netdevic": [7, 17, 19, 25, 37, 51, 53, 61, 69, 70, 73, 88], "brite": 8, "integr": [8, 14, 39, 42], "The": [10, 27, 39, 84], "class": [10, 39, 72], "mobilitybuildinginfo": 10, "itur1238propagationlossmodel": 10, "buildingspropagationlossmodel": 10, "extern": 10, "wall": 10, "loss": [10, 42, 63, 75], "ewl": 10, "intern": 10, "iwl": 10, "height": 10, "gain": 10, "hg": 10, "shadow": [10, 12], "pathloss": [10, 12, 13], "logic": 10, "hybridbuildingspropagationlossmodel": 10, "ohbuildingspropagationlossmodel": 10, "suit": [12, 42], "buildingshelp": 12, "buildingpositionalloc": 12, "okumura": 12, "hata": 12, "cost231": 12, "3": [12, 14, 19, 21, 31, 34, 36, 39, 71, 75, 80], "6": [12, 68, 87], "ghz": [12, 87], "4": [12, 19, 34, 68, 70], "itu1411": 12, "lo": 12, "5": [12, 87], "nlo": 12, "itup1238": 12, "7": 12, "outdoor": 12, "indoor": 12, "8": 12, "9": 12, "10": 12, "channel": [12, 13, 17, 39, 42, 61, 63, 72, 73, 84, 87, 88], "condit": [12, 13, 63], "how": 13, "us": [13, 17, 18, 19, 21, 39, 42, 43, 48, 49, 58, 61, 70, 71, 73, 79, 87, 88], "simul": [13, 14, 18, 39, 40, 43, 67], "includ": 13, "header": 13, "creat": [13, 18, 45, 58, 71], "setup": 13, "node": [13, 34, 53, 78], "mobil": [13, 43, 49, 79, 87], "place": 13, "some": 13, "legaci": [13, 84], "posit": 13, "method": 13, "specif": [13, 39], "make": 13, "consist": 13, "awar": [13, 39, 42], "main": [13, 72, 78], "configur": [13, 19, 39, 42, 43, 81, 87], "attribut": [13, 15, 16, 19, 23, 24, 25, 26, 27, 28, 29, 30, 36, 43, 51, 55, 56, 59, 60, 62, 67, 72, 74, 79, 81, 83, 88], "click": 14, "modular": 14, "router": [14, 36], "develop": 14, "api": [14, 37, 68, 71, 81, 83], "allow": 14, "n": [14, 21, 31, 36, 39, 49, 71, 75, 80], "interact": [14, 75, 78, 80], "packet": [14, 19, 27, 34, 35, 43, 58, 70, 71, 78, 86, 88], "hand": 14, "off": 14, "between": 14, "graph": 14, "instruct": [14, 21], "debug": [14, 43], "flow": [14, 27], "from": [14, 19], "helper": [14, 21, 23, 24, 25, 27, 32, 36, 37, 39, 49, 51, 55, 56, 64, 65, 66, 70, 72, 78, 79, 81], "valid": [14, 15, 16, 21, 23, 24, 26, 27, 28, 29, 30, 36, 37, 49, 50, 55, 56, 59, 60, 62, 67, 70, 74, 75, 79, 80, 81, 86], "cobalt": 15, "queue": [15, 16, 26, 28, 29, 30, 37, 39, 42, 50, 59, 60, 62, 64, 65, 66, 67, 74, 84], "disc": [15, 16, 26, 28, 29, 30, 50, 59, 60, 62, 67, 74], "codel": 16, "csma": 17, "layer": [17, 34, 39, 75, 77, 78, 80, 81, 84], "net": 17, "devic": [17, 23, 78], "csmanetdevic": 17, "upper": [17, 61], "level": [17, 61], "mac": [17, 37, 39, 43, 61, 79, 81, 84, 86, 88], "hook": [17, 61], "lower": [17, 61], "phy": [17, 37, 39, 43, 61, 72, 79, 81, 84], "summari": 17, "mpi": 18, "distribut": [18, 39, 43], "current": [18, 21, 75], "implement": [18, 21, 39, 58, 65, 68, 72], "detail": [18, 58, 65, 84], "remot": 18, "point": [18, 49, 61, 87], "link": [18, 39, 42, 43, 55], "topologi": [18, 76], "run": [18, 40], "set": [18, 39, 42, 71, 87], "synchron": 18, "algorithm": [18, 39, 42, 43, 75, 84], "custom": [18, 43], "dure": 18, "dpdk": 19, "initi": [19, 39, 42, 88], "transfer": 19, "termin": 19, "instal": 19, "i": [19, 39], "my": 19, "nic": 19, "support": [19, 39, 45, 56, 75, 80], "Not": 19, "virtual": 19, "machin": [19, 39], "instead": 19, "ubuntu": 19, "compil": 19, "sourc": [19, 23, 79], "share": 19, "librari": [19, 31], "export": 19, "environ": [19, 43, 63], "variabl": [19, 49, 58], "driver": 19, "kernel": 19, "hugepag": 19, "output": [19, 24, 25, 27, 36, 43, 51, 64, 72, 81], "dsdv": 20, "rout": [20, 21, 33, 36, 54, 55, 68, 70], "dsr": 21, "updat": 21, "17": 21, "paramet": [21, 40, 43, 68], "modif": [21, 79], "cach": 21, "emul": [22, 43], "energi": [23, 79], "framework": [23, 40, 79], "harvest": 23, "wifi": [23, 84], "radio": [23, 39, 42, 43], "basic": [23, 43, 71], "rv": 23, "batteri": [23, 79], "error": [24, 39, 42, 43, 86], "troubleshoot": [24, 27, 36, 43, 72, 81], "acknowledg": [24, 75], "descriptor": 25, "emufdnetdevicehelp": 25, "tapfdnetdevicehelp": 25, "fifo": 26, "monitor": 27, "lost": 27, "problem": 27, "fqcobalt": 28, "fqcodel": 29, "possibl": 29, "next": 29, "fq": 30, "pie": [30, 60], "internet": [32, 33, 34], "v4ping": 32, "ping6": 32, "radvd": 32, "dhcpv4": 32, "ip": [33, 34, 78, 88], "tcp": [33, 71, 75], "udp": [33, 71, 80], "stack": [34, 35], "aggreg": [34, 39, 42, 43], "structur": [34, 39], "protocol": [34, 39, 42, 45, 68, 70, 78], "socket": [34, 71, 75, 80], "capabl": 34, "interfac": [34, 39, 42, 58, 72, 75, 78, 80], "path": 34, "ipv4": [35, 70], "address": [35, 36, 37, 88], "assign": [35, 36], "manual": [35, 36, 43], "dhcp": 35, "arp": 35, "explicit": [35, 36, 67, 75], "congest": [35, 36, 67, 75], "notif": [35, 36, 67, 75], "ecn": [35, 36, 67, 75], "bit": [35, 36, 84], "ipv4queuediscitem": 35, "rfc": [35, 75], "6621": 35, "duplic": [35, 36], "detect": [35, 36, 39, 67], "neighborcach": [35, 36], "ipv6": [36, 70], "auto": 36, "random": [36, 39, 49, 67], "network": [36, 37, 43, 52, 70, 88], "without": 36, "onlink": 36, "properti": 36, "dad": 36, "ipv6queuediscitem": 36, "host": 36, "behaviour": 36, "advanc": [36, 49, 81], "maximum": [36, 39, 42], "transmiss": [36, 70, 84, 86], "unit": [36, 42], "mtu": 36, "fragment": [36, 58], "loop": 36, "global": [36, 68], "leakag": 36, "2001": 36, "db8": 36, "32": 36, "low": [37, 84], "rate": [37, 42, 75, 84, 86], "wireless": [37, 45], "person": 37, "area": 37, "lr": 37, "wpan": 37, "primit": [37, 39], "enabl": [37, 58, 70, 75], "lte": [38, 39, 42, 43], "criteria": 39, "epc": [39, 40, 42, 43], "architectur": [39, 68, 84], "ue": [39, 42, 43], "enb": [39, 42], "data": [39, 42, 58, 71, 75], "plane": [39, 42], "control": [39, 42, 43, 75, 77, 78, 84], "propag": [39, 40, 42, 63, 79], "fade": [39, 43, 63, 72], "subfram": 39, "delai": [39, 42, 45], "cqi": [39, 43], "feedback": 39, "interfer": [39, 42, 72, 86], "spectrum": [39, 72], "miesm": 39, "bler": 39, "curv": 39, "pcfich": 39, "pdcch": 39, "mimo": [39, 42, 43], "measur": [39, 40, 42, 43], "harq": [39, 42], "resourc": 39, "alloc": 39, "adapt": [39, 42, 67], "code": [39, 42], "transport": 39, "block": 39, "femtoforum": 39, "schedul": [39, 42, 43, 84, 88], "round": [39, 42, 84], "robin": [39, 42, 84], "rr": 39, "proport": [39, 42, 75], "fair": [39, 42], "pf": 39, "throughput": [39, 42], "mt": 39, "averag": [39, 42], "tta": 39, "blind": [39, 42], "token": [39, 42], "bank": 39, "prioriti": [39, 42, 71], "qo": [39, 42], "access": [39, 84, 87], "rlc": [39, 42], "servic": [39, 71, 88], "am": 39, "buffer": [39, 58, 71], "transmit": [39, 78], "oper": [39, 71, 73, 78, 87], "downlink": [39, 42], "retransmiss": 39, "uplink": [39, 42, 43, 88], "calcul": [39, 42, 43], "size": 39, "concaten": [39, 58], "segment": 39, "re": 39, "unsupport": [39, 45], "featur": [39, 45], "um": 39, "tm": 39, "sm": 39, "pdcp": 39, "rrc": [39, 42], "state": [39, 55, 75], "cell": [39, 42, 43], "select": [39, 42, 43, 87], "search": 39, "broadcast": 39, "system": [39, 42, 49], "inform": 39, "evalu": 39, "admiss": 39, "bearer": [39, 42], "failur": [39, 42, 43], "rlf": 39, "action": 39, "upon": 39, "overal": 39, "perform": [39, 42, 86], "report": 39, "trigger": [39, 43], "list": 39, "event": 39, "base": [39, 43, 70], "handov": [39, 42, 43], "No": 39, "op": 39, "a2": 39, "a4": 39, "rsrq": 39, "strongest": 39, "neighbour": 39, "relat": [39, 84], "tabl": 39, "role": 39, "anr": 39, "sequenc": 39, "diagram": 39, "connect": [39, 88], "establish": 39, "timer": 39, "procedur": [39, 43], "counter": 39, "reconfigur": 39, "ideal": [39, 42], "real": [39, 42], "signal": [39, 72], "asn": 39, "encod": 39, "ie": 39, "": [39, 67], "asn1head": 39, "type": [39, 70, 71], "rrcasn1head": 39, "common": [39, 88], "messag": [39, 88], "na": 39, "s1": [39, 42], "s5": 39, "s11": 39, "u": [39, 42], "s1ap": 39, "x2": [39, 42, 43], "c": 39, "execut": 39, "son": 39, "power": [39, 42, 43], "pusch": 39, "pucch": 39, "sr": 39, "fraction": [39, 43], "frequenc": [39, 42, 43, 87], "reus": [39, 42, 43, 84], "fr": [39, 42], "hard": [39, 43], "strict": [39, 43], "soft": [39, 43], "enhanc": [39, 43], "carrier": [39, 42, 43], "impact": 39, "ca": 39, "enodeb": 39, "ccm": 39, "sap": 39, "compon": [39, 42], "manag": [39, 45, 58, 84, 88], "profil": 40, "object": 40, "script": 40, "input": [40, 76], "time": 40, "perl": 40, "requir": 40, "plot": 40, "result": [40, 43], "softwar": 40, "equip": 40, "e": [40, 42], "utran": 40, "memori": [40, 58], "sinr": 42, "utra": 42, "absolut": 42, "number": [42, 87], "earfcn": 42, "dedic": 42, "deactiv": 42, "inter": 42, "piecewis": 42, "scenario": [42, 43], "band": [42, 87], "physic": [42, 88], "secondari": 42, "multipl": 42, "gtp": 42, "tft": 42, "classifi": [42, 88], "end": 42, "function": 42, "automat": [42, 43], "target": 42, "One": 42, "two": 42, "background": [43, 84], "program": [43, 58, 71], "map": 43, "amc": 43, "evolv": 43, "core": 43, "mode": [43, 73], "backhaul": 43, "attach": 43, "idl": 43, "close": 43, "subscrib": 43, "group": [43, 49], "tune": 43, "campaign": 43, "lena": 43, "dual": 43, "stripe": 43, "overrid": 43, "default": [43, 68, 87], "tip": 43, "wi": [44, 82], "fi": [44, 82], "mesh": [44, 45, 70], "ieee": [45, 70], "802": [45, 70, 84], "11": 45, "peer": 45, "hybrid": 45, "forward": 45, "verif": 45, "yet": 45, "open": [45, 75], "issu": [45, 75, 87], "meshnetdevic": 48, "coordin": 49, "mobilitymodel": 49, "subclass": 49, "positionalloc": 49, "mobilityhelp": 49, "ns2mobilityhelp": 49, "ns2": 49, "bonnmot": 49, "scengen": 49, "sumo": 49, "tran": 49, "cc": 49, "mq": 50, "netmap": 51, "nix": 54, "optim": 55, "olsr": 55, "caveat": [55, 56, 79], "openflow": 56, "switch": 56, "ofsid": 56, "log": [56, 79], "organ": 57, "new": [58, 75], "remov": 58, "tag": 58, "metadata": 58, "sampl": 58, "privat": 58, "member": 58, "copi": 58, "write": [58, 75], "semant": 58, "pfifo_fast": 59, "pointtopoint": 61, "pointtopointnetdevic": 61, "prio": 62, "propagationlossmodel": 63, "friispropagationlossmodel": 63, "tworaygroundpropagationlossmodel": 63, "logdistancepropagationlossmodel": 63, "threelogdistancepropagationlossmodel": 63, "jakespropagationlossmodel": 63, "todo": 63, "randompropagationlossmodel": 63, "nakagamipropagationlossmodel": 63, "fixedrsslossmodel": 63, "matrixpropagationlossmodel": 63, "rangepropagationlossmodel": 63, "okumurahatapropagationlossmodel": 63, "cost231propagationlossmodel": 63, "itur1411lospropagationlossmodel": 63, "itur1411nlosoverrooftoppropagationlossmodel": 63, "kun2600mhzpropagationlossmodel": 63, "threegpppropagationlossmodel": 63, "threegpprmapropagationlossmodel": 63, "threegppumapropagationlossmodel": 63, "threegppumistreetcanyonpropagationlossmodel": 63, "threegppindoorofficepropagationlossmodel": 63, "channelconditionmodel": 63, "threegppchannelconditionmodel": 63, "threegpprmachannelconditionmodel": 63, "threegppumachannelconditionmodel": 63, "threegppumistreetcanyonchannelconditionmodel": 63, "threegppindoormixedofficechannelconditionmodel": 63, "threegppindooropenofficechannelconditionmodel": 63, "propagationdelaymodel": 63, "constantspeedpropagationdelaymodel": 63, "randompropagationdelaymodel": 63, "vehicular": 63, "fast": [63, 72], "droptail": 64, "disciplin": 65, "requeu": 65, "dynamicqueuelimit": 66, "red": 67, "earli": 67, "ar": 67, "feng": 67, "nonlinear": 67, "nlred": 67, "unicast": 68, "ipv": 68, "listrout": 68, "addroutingprotocol": 68, "central": 68, "rip": 68, "ripng": 68, "converg": [68, 88], "split": 68, "horizon": 68, "other": [68, 70], "multicast": 68, "simpl": 69, "6lowpan": 70, "over": 70, "15": 70, "under": 70, "contex": 70, "compress": 70, "6lowpam": 70, "nd": 70, "mix": 70, "pan": 70, "l3": [70, 78], "sixlowpan": 70, "call": 71, "v": 71, "variant": 71, "send": 71, "dummi": 71, "sendto": 71, "ToS": 71, "errno": 71, "posix": 71, "like": 71, "spectrumvalu": 72, "spectrumconvert": 72, "idealphi": 72, "addit": 72, "tv": 72, "transmitt": 72, "tr": 72, "38": 72, "901": 72, "threegppspectrumpropagationlossmodel": 72, "threegppchannelmodel": 72, "tap": 73, "tapbridg": 73, "configureloc": 73, "useloc": 73, "usebridg": 73, "tbf": 74, "tracesourc": 74, "histori": 75, "newreno": 75, "cubic": 75, "linux": 75, "reno": 75, "highspe": 75, "hybla": 75, "westwood": 75, "vega": 75, "scalabl": 75, "veno": 75, "bic": 75, "yeah": 75, "illinoi": 75, "h": 75, "ledbat": 75, "lp": 75, "center": 75, "dctcp": 75, "bbr": 75, "negoti": 75, "transit": 75, "3168": 75, "complianc": 75, "dynam": 75, "pace": 75, "sack": 75, "non": 75, "recoveri": 75, "classic": 75, "reduct": 75, "deliveri": 75, "estim": 75, "reader": 76, "traffic": [77, 78], "introduc": 78, "receiv": 78, "brief": 78, "old": 78, "l2": 78, "uan": 79, "auv": 79, "case": 79, "acoust": 79, "modem": 79, "li": 79, "ion": 79, "acousticmodemenergymodelhelp": 79, "auvgliderhelp": 79, "auvremushelp": 79, "wave": 81, "extens": 81, "wavehelp": 81, "behavior": 83, "chang": 83, "across": 83, "releas": 83, "channelset": 83, "high": 84, "wifiphi": [84, 87], "phyentiti": 84, "A": 84, "wifippdu": 84, "yanswifiphi": 84, "wifiphystatehelp": 84, "interferencehelp": 84, "errorratemodel": 84, "tablebasederrorratemodel": 84, "spectrumwifiphi": [84, 86], "infrastructur": [84, 87], "associ": 84, "roam": 84, "frame": [84, 88], "exchang": 84, "multi": [84, 86], "ack": 84, "wifidefaultackmanag": 84, "protect": 84, "wifidefaultprotectionmanag": 84, "constantratewifimanag": 84, "idealwifimanag": 84, "thompsonsamplingwifimanag": 84, "minstrelwifimanag": 84, "minstrelhtwifimanag": 84, "11ax": 84, "obss": 84, "pd": 84, "spatial": 84, "constant": 84, "modifi": 84, "satur": 86, "bianchi": 86, "wifinetdevic": 87, "yanswifichannelhelp": 87, "yanswifiphyhelp": 87, "width": 87, "wifihelp": 87, "setstandard": 87, "channelwidth": 87, "channelnumb": 87, "primary20mhzindex": 87, "order": 87, "spectrumwifiphyhelp": 87, "wifimachelp": 87, "categori": 87, "ac": 87, "ht": 87, "vht": 87, "he": 87, "adhoc": 87, "wimax": 88, "sublay": 88, "part": 88, "entri": 88, "outbound": 88, "wimaxchannel": 88, "wimaxphi": 88}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Animation": [[0, "animation"]], "NetAnim": [[0, "netanim"]], "Methodology": [[0, "methodology"]], "Downloading NetAnim": [[0, "downloading-netanim"]], "Building NetAnim": [[0, "building-netanim"]], "Prerequisites": [[0, "prerequisites"], [18, "prerequisites"]], "Build steps": [[0, "build-steps"]], "Usage": [[0, "usage"], [6, "usage"], [8, "usage"], [14, "usage"], [19, "usage"], [23, "usage"], [24, "usage"], [25, "usage"], [27, "usage"], [35, "usage"], [36, "usage"], [36, "id1"], [37, "usage"], [49, "usage"], [51, "usage"], [54, "usage"], [55, "usage"], [56, "usage"], [64, "usage"], [65, "usage"], [66, "usage"], [70, "usage"], [72, "usage"], [75, "usage"], [79, "usage"], [80, "usage"], [81, "usage"]], "Step 1: Generate XML animation trace file": [[0, "step-1-generate-xml-animation-trace-file"]], "Mandatory": [[0, "mandatory"]], "Optional": [[0, "optional"]], "Step 2: Loading the XML in NetAnim": [[0, "step-2-loading-the-xml-in-netanim"]], "Wiki": [[0, "wiki"]], "Antenna Module": [[1, "antenna-module"]], "Design documentation": [[2, "design-documentation"], [10, "design-documentation"]], "Overview": [[2, "overview"], [10, "overview"], [12, "overview"], [39, "overview"], [39, "id13"], [39, "id70"], [39, "id134"], [39, "id139"], [42, "overview"], [45, "overview"]], "Angles": [[2, "angles"], [3, "angles"]], "AntennaModel": [[2, "antennamodel"]], "Single antenna models": [[2, "single-antenna-models"]], "IsotropicAntennaModel": [[2, "isotropicantennamodel"], [3, "isotropicantennamodel"]], "CosineAntennaModel": [[2, "cosineantennamodel"], [3, "cosineantennamodel"]], "ParabolicAntennaModel": [[2, "parabolicantennamodel"], [3, "parabolicantennamodel"]], "ThreeGppAntennaModel": [[2, "threegppantennamodel"]], "Phased Array Model": [[2, "phased-array-model"]], "UniformPlanarArray": [[2, "uniformplanararray"]], "Testing Documentation": [[3, "testing-documentation"], [12, "testing-documentation"], [42, "testing-documentation"], [47, "testing-documentation"], [86, "testing-documentation"]], "DegreesToRadians": [[3, "degreestoradians"]], "User Documentation": [[4, "user-documentation"], [13, "user-documentation"], [43, "user-documentation"], [48, "user-documentation"], [87, "user-documentation"]], "Ad Hoc On-Demand Distance Vector (AODV)": [[5, "ad-hoc-on-demand-distance-vector-aodv"]], "Model Description": [[5, "model-description"], [6, "model-description"], [8, "model-description"], [14, "model-description"], [15, "model-description"], [16, "model-description"], [19, "model-description"], [23, "model-description"], [24, "model-description"], [25, "model-description"], [26, "model-description"], [27, "model-description"], [28, "model-description"], [29, "model-description"], [30, "model-description"], [37, "model-description"], [49, "model-description"], [50, "model-description"], [51, "model-description"], [54, "model-description"], [55, "model-description"], [56, "model-description"], [59, "model-description"], [60, "model-description"], [62, "model-description"], [64, "model-description"], [65, "model-description"], [66, "model-description"], [67, "model-description"], [70, "model-description"], [72, "model-description"], [74, "model-description"], [79, "model-description"], [81, "model-description"]], "Design": [[5, "design"], [6, "design"], [8, "design"], [14, "design"], [19, "design"], [23, "design"], [24, "design"], [25, "design"], [27, "design"], [37, "design"], [49, "design"], [51, "design"], [56, "design"], [64, "design"], [65, "design"], [66, "design"], [70, "design"], [72, "design"], [79, "design"], [81, "design"]], "Scope and Limitations": [[5, "scope-and-limitations"], [14, "scope-and-limitations"], [19, "scope-and-limitations"], [24, "scope-and-limitations"], [25, "scope-and-limitations"], [27, "scope-and-limitations"], [32, "scope-and-limitations"], [37, "scope-and-limitations"], [45, "scope-and-limitations"], [49, "scope-and-limitations"], [51, "scope-and-limitations"], [54, "scope-and-limitations"], [55, "scope-and-limitations"], [56, "scope-and-limitations"], [70, "scope-and-limitations"], [79, "scope-and-limitations"], [81, "scope-and-limitations"], [84, "scope-and-limitations"]], "Future Work": [[5, "future-work"], [23, "future-work"], [56, "future-work"], [79, "future-work"]], "3GPP HTTP applications": [[6, "gpp-http-applications"]], "3GPP HTTP server description": [[6, "gpp-http-server-description"]], "3GPP HTTP client description": [[6, "gpp-http-client-description"]], "References": [[6, "references"], [8, "references"], [11, "references"], [14, "references"], [15, "references"], [16, "references"], [20, "references"], [21, "references"], [23, "references"], [24, "references"], [27, "references"], [28, "references"], [29, "references"], [30, "references"], [37, "references"], [41, "references"], [46, "references"], [49, "references"], [55, "references"], [56, "references"], [60, "references"], [63, "references"], [67, "references"], [70, "references"], [72, "references"], [72, "id20"], [74, "references"], [79, "references"], [81, "references"], [85, "references"]], "Building the 3GPP HTTP applications": [[6, "building-the-3gpp-http-applications"]], "Examples": [[6, "examples"], [8, "examples"], [14, "examples"], [15, "examples"], [16, "examples"], [19, "examples"], [21, "examples"], [23, "examples"], [24, "examples"], [25, "examples"], [27, "examples"], [28, "examples"], [29, "examples"], [30, "examples"], [32, "examples"], [36, "examples"], [37, "examples"], [49, "examples"], [50, "examples"], [51, "examples"], [54, "examples"], [55, "examples"], [56, "examples"], [59, "examples"], [60, "examples"], [62, "examples"], [64, "examples"], [67, "examples"], [70, "examples"], [72, "examples"], [72, "id9"], [74, "examples"], [79, "examples"], [81, "examples"]], "Tests": [[6, "tests"], [32, "tests"], [37, "tests"], [70, "tests"]], "Bridge NetDevice": [[7, "bridge-netdevice"]], "BRITE Integration": [[8, "brite-integration"]], "Building BRITE Integration": [[8, "building-brite-integration"]], "Buildings Module": [[9, "buildings-module"]], "The Building class": [[10, "the-building-class"]], "The MobilityBuildingInfo class": [[10, "the-mobilitybuildinginfo-class"]], "ItuR1238PropagationLossModel": [[10, "itur1238propagationlossmodel"]], "BuildingsPropagationLossModel": [[10, "buildingspropagationlossmodel"]], "External Wall Loss (EWL)": [[10, "external-wall-loss-ewl"]], "Internal Walls Loss (IWL)": [[10, "internal-walls-loss-iwl"]], "Height Gain Model (HG)": [[10, "height-gain-model-hg"]], "Shadowing Model": [[10, "shadowing-model"]], "Pathloss logics": [[10, "pathloss-logics"]], "HybridBuildingsPropagationLossModel": [[10, "hybridbuildingspropagationlossmodel"]], "OhBuildingsPropagationLossModel": [[10, "ohbuildingspropagationlossmodel"]], "Description of the test suites": [[12, "description-of-the-test-suites"], [42, "description-of-the-test-suites"]], "BuildingsHelper test": [[12, "buildingshelper-test"]], "BuildingPositionAllocator test": [[12, "buildingpositionallocator-test"]], "Buildings Pathloss tests": [[12, "buildings-pathloss-tests"]], "Test #1 Okumura Hata": [[12, "test-1-okumura-hata"]], "Test #2 COST231 Model": [[12, "test-2-cost231-model"]], "Test #3 2.6 GHz model": [[12, "test-3-2-6-ghz-model"]], "Test #4 ITU1411 LoS model": [[12, "test-4-itu1411-los-model"]], "Test #5 ITU1411 NLoS model": [[12, "test-5-itu1411-nlos-model"]], "Test #6 ITUP1238 model": [[12, "test-6-itup1238-model"]], "Test #7 Outdoor -> Indoor with Okumura Hata model": [[12, "test-7-outdoor-indoor-with-okumura-hata-model"]], "Test #8 Outdoor -> Indoor with ITU1411 model": [[12, "test-8-outdoor-indoor-with-itu1411-model"]], "Test #9 Indoor -> Outdoor with ITU1411 model": [[12, "test-9-indoor-outdoor-with-itu1411-model"]], "Test #10 Indoor -> Outdoor with ITU1411 model": [[12, "test-10-indoor-outdoor-with-itu1411-model"]], "Buildings Shadowing Test": [[12, "buildings-shadowing-test"]], "Buildings Channel Condition Model Test": [[12, "buildings-channel-condition-model-test"]], "How to use buildings in a simulation": [[13, "how-to-use-buildings-in-a-simulation"]], "Include the headers": [[13, "include-the-headers"]], "Create a building": [[13, "create-a-building"]], "Setup nodes and mobility models": [[13, "setup-nodes-and-mobility-models"]], "Place some nodes": [[13, "place-some-nodes"]], "Legacy positioning methods": [[13, "legacy-positioning-methods"]], "Building-specific positioning methods": [[13, "building-specific-positioning-methods"]], "Making the Mobility Model Consistent for a node": [[13, "making-the-mobility-model-consistent-for-a-node"]], "Building-aware pathloss model": [[13, "building-aware-pathloss-model"]], "Building-aware channel condition models": [[13, "building-aware-channel-condition-models"]], "Main configurable attributes": [[13, "main-configurable-attributes"]], "Click Modular Router Integration": [[14, "click-modular-router-integration"]], "Developing a Simulator API to allow ns-3 to interact with Click": [[14, "developing-a-simulator-api-to-allow-ns-3-to-interact-with-click"]], "Packet hand off between ns-3 and Click": [[14, "packet-hand-off-between-ns-3-and-click"]], "Building Click": [[14, "building-click"]], "Click Graph Instructions": [[14, "click-graph-instructions"]], "Debugging Packet Flows from Click": [[14, "debugging-packet-flows-from-click"]], "Helper": [[14, "helper"], [21, "helper"], [37, "helper"], [49, "helper"], [49, "id3"], [70, "helper"]], "Validation": [[14, "validation"], [15, "validation"], [16, "validation"], [21, "validation"], [23, "validation"], [24, "validation"], [26, "validation"], [27, "validation"], [28, "validation"], [29, "validation"], [30, "validation"], [36, "validation"], [37, "validation"], [49, "validation"], [50, "validation"], [55, "validation"], [56, "validation"], [59, "validation"], [60, "validation"], [62, "validation"], [67, "validation"], [70, "validation"], [74, "validation"], [75, "validation"], [79, "validation"], [80, "validation"], [81, "validation"]], "Cobalt queue disc": [[15, "cobalt-queue-disc"]], "Attributes": [[15, "attributes"], [16, "attributes"], [19, "attributes"], [23, "attributes"], [24, "attributes"], [25, "attributes"], [26, "attributes"], [27, "attributes"], [28, "attributes"], [29, "attributes"], [30, "attributes"], [36, "attributes"], [51, "attributes"], [55, "attributes"], [56, "attributes"], [59, "attributes"], [60, "attributes"], [62, "attributes"], [67, "attributes"], [72, "attributes"], [74, "attributes"], [79, "attributes"], [81, "attributes"]], "CoDel queue disc": [[16, "codel-queue-disc"]], "CSMA NetDevice": [[17, "csma-netdevice"]], "Overview of the CSMA model": [[17, "overview-of-the-csma-model"]], "CSMA Layer Model": [[17, "csma-layer-model"]], "CSMA Channel Model": [[17, "csma-channel-model"]], "CSMA Net Device Model": [[17, "csma-net-device-model"]], "Using the CsmaNetDevice": [[17, "using-the-csmanetdevice"]], "CSMA Tracing": [[17, "csma-tracing"]], "Upper-Level (MAC) Hooks": [[17, "upper-level-mac-hooks"], [61, "upper-level-mac-hooks"]], "Lower-Level (PHY) Hooks": [[17, "lower-level-phy-hooks"], [61, "lower-level-phy-hooks"]], "Summary": [[17, "summary"]], "MPI for Distributed Simulation": [[18, "mpi-for-distributed-simulation"]], "Current Implementation Details": [[18, "current-implementation-details"]], "Remote point-to-point links": [[18, "remote-point-to-point-links"]], "Distributing the topology": [[18, "distributing-the-topology"]], "Running Distributed Simulations": [[18, "running-distributed-simulations"]], "Building and Running Examples": [[18, "building-and-running-examples"]], "Setting synchronization algorithm to use": [[18, "setting-synchronization-algorithm-to-use"]], "Creating custom topologies": [[18, "creating-custom-topologies"]], "Tracing During Distributed Simulations": [[18, "tracing-during-distributed-simulations"]], "DPDK NetDevice": [[19, "dpdk-netdevice"]], "Initialization": [[19, "initialization"]], "Packet Transfer": [[19, "packet-transfer"]], "Termination": [[19, "termination"]], "DPDK Installation": [[19, "dpdk-installation"]], "Is my NIC supported by DPDK?": [[19, "is-my-nic-supported-by-dpdk"]], "Not supported? Use Virtual Machine instead": [[19, "not-supported-use-virtual-machine-instead"]], "Install DPDK on Ubuntu": [[19, "install-dpdk-on-ubuntu"]], "Compile from Source": [[19, "compile-from-source"]], "1. Download the source": [[19, "download-the-source"]], "2. Configure DPDK as a shared library": [[19, "configure-dpdk-as-a-shared-library"]], "3. Install the source": [[19, "install-the-source"]], "4. Export DPDK Environment variables": [[19, "export-dpdk-environment-variables"]], "Load DPDK Drivers to kernel": [[19, "load-dpdk-drivers-to-kernel"]], "Configure hugepages": [[19, "configure-hugepages"]], "Output": [[19, "output"], [24, "output"], [25, "output"], [27, "output"], [36, "output"], [51, "output"], [64, "output"], [72, "output"], [81, "output"]], "DSDV Routing": [[20, "dsdv-routing"]], "DSDV Routing Overview": [[20, "dsdv-routing-overview"]], "DSR Routing": [[21, "dsr-routing"]], "DSR Routing Overview": [[21, "dsr-routing-overview"]], "DSR update in ns-3.17": [[21, "dsr-update-in-ns-3-17"]], "Useful parameters": [[21, "useful-parameters"]], "Implementation modification": [[21, "implementation-modification"]], "Current Route Cache implementation": [[21, "current-route-cache-implementation"]], "DSR Instructions": [[21, "dsr-instructions"]], "Limitations": [[21, "limitations"], [68, "limitations"], [80, "limitations"]], "Emulation Overview": [[22, "emulation-overview"]], "Energy Framework": [[23, "energy-framework"]], "Energy Source": [[23, "energy-source"]], "Device Energy Model": [[23, "device-energy-model"]], "Energy Harvester": [[23, "energy-harvester"]], "WiFi Radio Energy Model": [[23, "wifi-radio-energy-model"], [23, "id8"], [23, "id11"]], "Helpers": [[23, "helpers"], [24, "helpers"], [25, "helpers"], [27, "helpers"], [32, "helpers"], [36, "helpers"], [39, "helpers"], [51, "helpers"], [55, "helpers"], [56, "helpers"], [64, "helpers"], [65, "helpers"], [66, "helpers"], [72, "helpers"], [79, "helpers"], [81, "helpers"]], "Energy Source Helper": [[23, "energy-source-helper"]], "Device Energy Model Helper": [[23, "device-energy-model-helper"]], "Energy Harvesting Helper": [[23, "energy-harvesting-helper"]], "Basic Energy Source": [[23, "basic-energy-source"], [23, "id9"]], "RV Battery Model": [[23, "rv-battery-model"], [23, "id10"]], "Basic Energy Harvester": [[23, "basic-energy-harvester"], [23, "id12"]], "Tracing": [[23, "tracing"], [55, "tracing"], [56, "tracing"], [79, "tracing"]], "Error Model": [[24, "error-model"]], "Troubleshooting": [[24, "troubleshooting"], [27, "troubleshooting"], [36, "troubleshooting"], [72, "troubleshooting"], [81, "troubleshooting"]], "Acknowledgements": [[24, "acknowledgements"]], "File Descriptor NetDevice": [[25, "file-descriptor-netdevice"]], "EmuFdNetDeviceHelper": [[25, "emufdnetdevicehelper"]], "TapFdNetDeviceHelper": [[25, "tapfdnetdevicehelper"]], "Fifo queue disc": [[26, "fifo-queue-disc"]], "Flow Monitor": [[27, "flow-monitor"]], "The \u201clost\u201d packets problem": [[27, "the-lost-packets-problem"]], "FqCobalt queue disc": [[28, "fqcobalt-queue-disc"]], "FqCoDel queue disc": [[29, "fqcodel-queue-disc"]], "Possible next steps": [[29, "possible-next-steps"]], "FQ-PIE queue disc": [[30, "fq-pie-queue-disc"]], "ns-3 Model Library": [[31, "ns-3-model-library"]], "Internet Applications Module Documentation": [[32, "internet-applications-module-documentation"]], "V4Ping": [[32, "v4ping"]], "Ping6": [[32, "ping6"]], "Radvd": [[32, "radvd"]], "DHCPv4": [[32, "dhcpv4"]], "Internet Models (IP, TCP, Routing, UDP, Internet Applications)": [[33, "internet-models-ip-tcp-routing-udp-internet-applications"]], "Internet Stack": [[34, "internet-stack"]], "Internet stack aggregation": [[34, "internet-stack-aggregation"]], "Internet Node structure": [[34, "internet-node-structure"]], "Layer-3 protocols": [[34, "layer-3-protocols"]], "Layer-4 protocols and sockets": [[34, "layer-4-protocols-and-sockets"]], "IP-capable node interfaces": [[34, "ip-capable-node-interfaces"]], "Example path of a packet": [[34, "example-path-of-a-packet"]], "IPv4": [[35, "ipv4"]], "IPv4 addresses assignment": [[35, "ipv4-addresses-assignment"]], "Manually assigned IPv4 addresses": [[35, "manually-assigned-ipv4-addresses"]], "DHCP assigned IPv4 addresses": [[35, "dhcp-assigned-ipv4-addresses"]], "Tracing in the IPv4 Stack": [[35, "tracing-in-the-ipv4-stack"]], "Tracing in ARP": [[35, "tracing-in-arp"]], "Tracing in IPv4": [[35, "tracing-in-ipv4"]], "Explicit Congestion Notification (ECN) bits": [[35, "explicit-congestion-notification-ecn-bits"]], "Ipv4QueueDiscItem": [[35, "ipv4queuediscitem"]], "RFC 6621 duplicate packet detection": [[35, "rfc-6621-duplicate-packet-detection"]], "NeighborCache": [[35, "neighborcache"], [36, "neighborcache"]], "IPv6": [[36, "ipv6"]], "IPv6 model description": [[36, "ipv6-model-description"]], "IPv6 addresses assignment": [[36, "ipv6-addresses-assignment"]], "Manually assigned IPv6 addresses": [[36, "manually-assigned-ipv6-addresses"]], "Auto-generated IPv6 addresses": [[36, "auto-generated-ipv6-addresses"]], "Random-generated IPv6 addresses": [[36, "random-generated-ipv6-addresses"]], "Networks with and without the onlink property": [[36, "networks-with-and-without-the-onlink-property"]], "Duplicate Address Detection (DAD)": [[36, "duplicate-address-detection-dad"]], "Explicit Congestion Notification (ECN) bits in IPv6": [[36, "explicit-congestion-notification-ecn-bits-in-ipv6"]], "Ipv6QueueDiscItem": [[36, "ipv6queuediscitem"]], "Host and Router behaviour in IPv6 and ns-3": [[36, "host-and-router-behaviour-in-ipv6-and-ns3"]], "Advanced Usage": [[36, "advanced-usage"], [49, "advanced-usage"], [81, "advanced-usage"]], "IPv6 maximum transmission unit (MTU) and fragmentation": [[36, "ipv6-maximum-transmission-unit-mtu-and-fragmentation"]], "Routing loops": [[36, "routing-loops"]], "Global address leakage": [[36, "global-address-leakage"]], "2001:DB8::/32 addresses": [[36, "db8-32-addresses"]], "Low-Rate Wireless Personal Area Network (LR-WPAN)": [[37, "low-rate-wireless-personal-area-network-lr-wpan"]], "APIs": [[37, "apis"], [81, "apis"]], "MAC Primitives": [[37, "mac-primitives"]], "PHY Primitives": [[37, "phy-primitives"]], "MAC": [[37, "mac"], [39, "mac"]], "MAC queues": [[37, "mac-queues"], [84, "mac-queues"]], "PHY": [[37, "phy"], [39, "phy"]], "NetDevice": [[37, "netdevice"], [70, "netdevice"]], "MAC addresses": [[37, "mac-addresses"]], "Enabling lr-wpan": [[37, "enabling-lr-wpan"]], "LTE Module": [[38, "lte-module"]], "Design Documentation": [[39, "design-documentation"], [45, "design-documentation"], [84, "design-documentation"]], "Design Criteria": [[39, "design-criteria"]], "LTE Model": [[39, "lte-model"], [39, "id6"]], "EPC Model": [[39, "epc-model"], [39, "id7"]], "Architecture": [[39, "architecture"], [39, "id91"], [84, "architecture"]], "UE architecture": [[39, "ue-architecture"]], "eNB architecture": [[39, "enb-architecture"]], "EPC data plane": [[39, "epc-data-plane"]], "EPC control plane": [[39, "epc-control-plane"]], "Channel and Propagation": [[39, "channel-and-propagation"]], "Use of the Buildings model with LTE": [[39, "use-of-the-buildings-model-with-lte"]], "Fading Model": [[39, "fading-model"]], "Antennas": [[39, "antennas"]], "Subframe Structure": [[39, "subframe-structure"]], "MAC to Channel delay": [[39, "mac-to-channel-delay"]], "CQI feedback": [[39, "cqi-feedback"]], "Interference Model": [[39, "interference-model"]], "LTE Spectrum Model": [[39, "lte-spectrum-model"]], "Data PHY Error Model": [[39, "data-phy-error-model"]], "MIESM": [[39, "miesm"]], "BLER Curves": [[39, "bler-curves"]], "Integration of the BLER curves in the ns-3 LTE module": [[39, "integration-of-the-bler-curves-in-the-ns-3-lte-module"]], "Control Channels PHY Error Model": [[39, "control-channels-phy-error-model"]], "PCFICH + PDCCH Error Model": [[39, "pcfich-pdcch-error-model"]], "MIMO Model": [[39, "mimo-model"], [42, "mimo-model"], [43, "mimo-model"]], "UE PHY Measurements Model": [[39, "ue-phy-measurements-model"]], "HARQ": [[39, "harq"]], "Resource Allocation Model": [[39, "resource-allocation-model"]], "Adaptive Modulation and Coding": [[39, "adaptive-modulation-and-coding"]], "Transport Block model": [[39, "transport-block-model"]], "The FemtoForum MAC Scheduler Interface": [[39, "the-femtoforum-mac-scheduler-interface"]], "Round Robin (RR) Scheduler": [[39, "round-robin-rr-scheduler"]], "Proportional Fair (PF) Scheduler": [[39, "proportional-fair-pf-scheduler"]], "Maximum Throughput (MT) Scheduler": [[39, "maximum-throughput-mt-scheduler"]], "Throughput to Average (TTA) Scheduler": [[39, "throughput-to-average-tta-scheduler"]], "Blind Average Throughput Scheduler": [[39, "blind-average-throughput-scheduler"]], "Token Bank Fair Queue Scheduler": [[39, "token-bank-fair-queue-scheduler"]], "Priority Set Scheduler": [[39, "priority-set-scheduler"]], "Channel and QoS Aware Scheduler": [[39, "channel-and-qos-aware-scheduler"]], "Random Access": [[39, "random-access"]], "RLC": [[39, "rlc"], [42, "rlc"]], "Service Interfaces": [[39, "service-interfaces"]], "RLC Service Interface": [[39, "rlc-service-interface"]], "RLC Service Primitives": [[39, "rlc-service-primitives"]], "MAC Service Interface": [[39, "mac-service-interface"]], "MAC Service Primitives": [[39, "mac-service-primitives"]], "AM RLC": [[39, "am-rlc"]], "Buffers for the transmit operations": [[39, "buffers-for-the-transmit-operations"]], "Transmit operations in downlink": [[39, "transmit-operations-in-downlink"], [39, "id81"], [39, "id85"]], "Retransmission in downlink": [[39, "retransmission-in-downlink"]], "Transmit operations in uplink": [[39, "transmit-operations-in-uplink"], [39, "id83"], [39, "id87"]], "Retransmission in uplink": [[39, "retransmission-in-uplink"]], "Calculation of the buffer size": [[39, "calculation-of-the-buffer-size"], [39, "id84"], [39, "id88"]], "Concatenation and Segmentation": [[39, "concatenation-and-segmentation"]], "Re-segmentation": [[39, "re-segmentation"]], "Unsupported features": [[39, "unsupported-features"], [45, "unsupported-features"]], "UM RLC": [[39, "um-rlc"]], "TM RLC": [[39, "tm-rlc"]], "SM RLC": [[39, "sm-rlc"]], "PDCP": [[39, "pdcp"]], "PDCP Model Overview": [[39, "pdcp-model-overview"]], "PDCP Service Interface": [[39, "pdcp-service-interface"]], "PDCP Service Primitives": [[39, "pdcp-service-primitives"]], "RRC": [[39, "rrc"], [42, "rrc"]], "Features": [[39, "features"]], "UE RRC State Machine": [[39, "ue-rrc-state-machine"]], "ENB RRC State Machine": [[39, "enb-rrc-state-machine"]], "Initial Cell Selection": [[39, "initial-cell-selection"]], "Cell Search": [[39, "cell-search"]], "Broadcast of System Information": [[39, "broadcast-of-system-information"]], "Cell Selection Evaluation": [[39, "cell-selection-evaluation"]], "Radio Admission Control": [[39, "radio-admission-control"]], "Radio Bearer Configuration": [[39, "radio-bearer-configuration"]], "Radio Link Failure": [[39, "radio-link-failure"]], "RLF detection implementation": [[39, "rlf-detection-implementation"]], "Actions upon RLF": [[39, "actions-upon-rlf"]], "UE RRC Measurements Model": [[39, "ue-rrc-measurements-model"]], "UE RRC measurements support": [[39, "ue-rrc-measurements-support"]], "Overall design": [[39, "overall-design"]], "Measurement configuration": [[39, "measurement-configuration"]], "Performing measurements": [[39, "performing-measurements"]], "Measurement reporting triggering": [[39, "measurement-reporting-triggering"]], "List of supported event-based triggering criteria": [[39, "id179"]], "Measurement reporting": [[39, "measurement-reporting"]], "Handover": [[39, "handover"]], "Handover algorithm": [[39, "handover-algorithm"]], "No-op handover algorithm": [[39, "no-op-handover-algorithm"]], "A2-A4-RSRQ handover algorithm": [[39, "a2-a4-rsrq-handover-algorithm"]], "Strongest cell handover algorithm": [[39, "strongest-cell-handover-algorithm"]], "Neighbour Relation": [[39, "neighbour-relation"]], "Neighbour Relation Table": [[39, "neighbour-relation-table"]], "Role of ANR in Simulation": [[39, "role-of-anr-in-simulation"]], "RRC sequence diagrams": [[39, "rrc-sequence-diagrams"]], "RRC connection establishment": [[39, "rrc-connection-establishment"]], "Timers in RRC connection establishment procedure": [[39, "id183"]], "Counters in RRC connection establishment procedure": [[39, "id184"]], "RRC connection reconfiguration": [[39, "rrc-connection-reconfiguration"]], "RRC protocol models": [[39, "rrc-protocol-models"]], "Ideal RRC protocol model": [[39, "ideal-rrc-protocol-model"]], "Real RRC protocol model": [[39, "real-rrc-protocol-model"]], "Signaling Radio Bearer model": [[39, "signaling-radio-bearer-model"]], "ASN.1 encoding of RRC IE\u2019s": [[39, "asn-1-encoding-of-rrc-ie-s"]], "Asn1Header class - Implementation of base ASN.1 types": [[39, "asn1header-class-implementation-of-base-asn-1-types"]], "RrcAsn1Header : Common IEs": [[39, "rrcasn1header-common-ies"]], "Rrc specific messages/IEs classes": [[39, "rrc-specific-messages-ies-classes"]], "NAS": [[39, "nas"]], "S1, S5 and S11": [[39, "s1-s5-and-s11"]], "S1-U and S5 (user plane)": [[39, "s1-u-and-s5-user-plane"]], "S1AP": [[39, "s1ap"]], "S5 and S11": [[39, "s5-and-s11"]], "X2": [[39, "x2"]], "X2 interfaces": [[39, "x2-interfaces"]], "X2-C": [[39, "x2-c"]], "X2-U": [[39, "x2-u"]], "X2 Service Interface": [[39, "x2-service-interface"]], "X2-C primitives for handover execution": [[39, "x2-c-primitives-for-handover-execution"]], "X2-C SON primitives": [[39, "x2-c-son-primitives"]], "Unsupported primitives": [[39, "unsupported-primitives"]], "S11": [[39, "s11"]], "Power Control": [[39, "power-control"]], "Downlink Power Control": [[39, "downlink-power-control"], [42, "downlink-power-control"]], "Uplink Power Control": [[39, "uplink-power-control"], [43, "uplink-power-control"]], "Uplink Power Control for PUSCH": [[39, "uplink-power-control-for-pusch"]], "Uplink Power Control for PUCCH": [[39, "uplink-power-control-for-pucch"]], "Uplink Power Control for SRS": [[39, "uplink-power-control-for-srs"]], "Fractional Frequency Reuse": [[39, "fractional-frequency-reuse"]], "Supported FR algorithms": [[39, "supported-fr-algorithms"]], "No Frequency Reuse": [[39, "no-frequency-reuse"]], "Hard Frequency Reuse": [[39, "hard-frequency-reuse"]], "Strict Frequency Reuse": [[39, "strict-frequency-reuse"]], "Soft Frequency Reuse": [[39, "soft-frequency-reuse"]], "Soft Fractional Frequency Reuse": [[39, "soft-fractional-frequency-reuse"]], "Enhanced Fractional Frequency Reuse": [[39, "enhanced-fractional-frequency-reuse"]], "Distributed Fractional Frequency Reuse": [[39, "distributed-fractional-frequency-reuse"]], "Carrier Aggregation": [[39, "carrier-aggregation"]], "Impact on RRC layer": [[39, "impact-on-rrc-layer"]], "Impact on PDCP layer": [[39, "impact-on-pdcp-layer"]], "Impact on RLC layer": [[39, "impact-on-rlc-layer"]], "Impact on MAC layer": [[39, "impact-on-mac-layer"]], "Impact on PHY layer": [[39, "impact-on-phy-layer"]], "Code Structure Design": [[39, "code-structure-design"]], "CA impact on data plane of eNodeB": [[39, "ca-impact-on-data-plane-of-enodeb"]], "CA impact on control plane of eNodeB": [[39, "ca-impact-on-control-plane-of-enodeb"]], "CA impact on data plane of UE": [[39, "ca-impact-on-data-plane-of-ue"]], "CA impact on control plane of UE": [[39, "ca-impact-on-control-plane-of-ue"]], "CCM RRC MAC interfaces": [[39, "ccm-rrc-mac-interfaces"]], "CCM RRC SAP interfaces": [[39, "ccm-rrc-sap-interfaces"]], "Component carrier managers": [[39, "component-carrier-managers"]], "Profiling Documentation": [[40, "profiling-documentation"]], "Overview and objectives": [[40, "overview-and-objectives"]], "Framework description": [[40, "framework-description"]], "Simulation scripts": [[40, "simulation-scripts"]], "Simulation input parameters": [[40, "simulation-input-parameters"]], "Time measurement": [[40, "time-measurement"]], "Perl script": [[40, "perl-script"]], "Requirements": [[40, "requirements"]], "Plotting results": [[40, "plotting-results"]], "Reference software and equipment": [[40, "reference-software-and-equipment"]], "Results": [[40, "results"]], "E-UTRAN": [[40, "e-utran"]], "Running time": [[40, "running-time"], [40, "id1"]], "Propagation model": [[40, "propagation-model"]], "Simulation time": [[40, "simulation-time"], [40, "id2"]], "Memory usage": [[40, "memory-usage"]], "EPC": [[40, "epc"]], "Unit Tests": [[42, "unit-tests"]], "SINR calculation in the Downlink": [[42, "sinr-calculation-in-the-downlink"]], "SINR calculation in the Uplink": [[42, "sinr-calculation-in-the-uplink"]], "E-UTRA Absolute Radio Frequency Channel Number (EARFCN)": [[42, "e-utra-absolute-radio-frequency-channel-number-earfcn"]], "System Tests": [[42, "system-tests"]], "Dedicated Bearer Deactivation Tests": [[42, "dedicated-bearer-deactivation-tests"]], "Adaptive Modulation and Coding Tests": [[42, "adaptive-modulation-and-coding-tests"]], "Inter-cell Interference Tests": [[42, "inter-cell-interference-tests"]], "UE Measurements Tests": [[42, "ue-measurements-tests"]], "UE measurement configuration tests": [[42, "ue-measurement-configuration-tests"]], "Piecewise configuration": [[42, "piecewise-configuration"]], "UE measurements test scenarios using piecewise configuration #1": [[42, "id17"]], "UE measurements test scenarios using piecewise configuration #2": [[42, "id18"]], "Handover configuration": [[42, "handover-configuration"]], "UE measurements test scenarios using handover configuration": [[42, "id19"]], "Round Robin scheduler performance": [[42, "round-robin-scheduler-performance"]], "Proportional Fair scheduler performance": [[42, "proportional-fair-scheduler-performance"]], "Maximum Throughput scheduler performance": [[42, "maximum-throughput-scheduler-performance"]], "Throughput to Average scheduler performance": [[42, "throughput-to-average-scheduler-performance"]], "Blind Average Throughput scheduler performance": [[42, "blind-average-throughput-scheduler-performance"]], "Token Band Fair Queue scheduler performance": [[42, "token-band-fair-queue-scheduler-performance"]], "Priority Set scheduler performance": [[42, "priority-set-scheduler-performance"]], "Channel and QoS aware scheduler performance": [[42, "channel-and-qos-aware-scheduler-performance"]], "Building Propagation Loss Model": [[42, "building-propagation-loss-model"]], "Physical Error Model": [[42, "physical-error-model"]], "HARQ Model": [[42, "harq-model"]], "Antenna Model integration": [[42, "antenna-model-integration"]], "Initial cell selection": [[42, "initial-cell-selection"]], "UE error rate in Initial Cell Selection test": [[42, "id26"]], "Secondary cell selection": [[42, "secondary-cell-selection"]], "Handover with multiple component carriers": [[42, "handover-with-multiple-component-carriers"]], "GTP-U protocol": [[42, "gtp-u-protocol"]], "S1-U interface": [[42, "s1-u-interface"]], "TFT classifier": [[42, "tft-classifier"]], "End-to-end LTE-EPC data plane functionality": [[42, "end-to-end-lte-epc-data-plane-functionality"]], "X2 handover": [[42, "x2-handover"]], "Automatic X2 handover": [[42, "automatic-x2-handover"]], "Handover delays": [[42, "handover-delays"]], "Handover failure": [[42, "handover-failure"]], "Selection of target cell in handover algorithm": [[42, "selection-of-target-cell-in-handover-algorithm"]], "Uplink Power Control Tests": [[42, "uplink-power-control-tests"]], "Frequency Reuse Algorithms": [[42, "frequency-reuse-algorithms"], [43, "frequency-reuse-algorithms"]], "Inter-cell Interference with FR algorithms Tests": [[42, "inter-cell-interference-with-fr-algorithms-tests"]], "Carrier aggregation test": [[42, "carrier-aggregation-test"]], "Carrier aggregation test for eNB and UE configuration": [[42, "carrier-aggregation-test-for-enb-and-ue-configuration"]], "Radio link failure Test": [[42, "radio-link-failure-test"]], "One eNB using Ideal and Real RRC": [[42, "one-enb-using-ideal-and-real-rrc"]], "Two eNBs using Ideal and Real RRC": [[42, "two-enbs-using-ideal-and-real-rrc"]], "Background": [[43, "background"]], "Usage Overview": [[43, "usage-overview"]], "Basic simulation program": [[43, "basic-simulation-program"]], "Configuration of LTE model parameters": [[43, "configuration-of-lte-model-parameters"]], "Configure LTE MAC Scheduler": [[43, "configure-lte-mac-scheduler"]], "Simulation Output": [[43, "simulation-output"]], "Fading Trace Usage": [[43, "fading-trace-usage"]], "Fading Traces Generation": [[43, "fading-traces-generation"]], "Fading Traces Usage": [[43, "fading-traces-usage"]], "Mobility Model with Buildings": [[43, "mobility-model-with-buildings"]], "PHY Error Model": [[43, "phy-error-model"]], "Use of AntennaModel": [[43, "use-of-antennamodel"]], "Radio Environment Maps": [[43, "radio-environment-maps"]], "AMC Model and CQI Calculation": [[43, "amc-model-and-cqi-calculation"]], "Evolved Packet Core (EPC)": [[43, "evolved-packet-core-epc"]], "Using the EPC with emulation mode": [[43, "using-the-epc-with-emulation-mode"]], "Custom Backhaul": [[43, "custom-backhaul"]], "Network Attachment": [[43, "network-attachment"]], "Manual attachment": [[43, "manual-attachment"]], "Automatic attachment using Idle mode cell selection procedure": [[43, "automatic-attachment-using-idle-mode-cell-selection-procedure"]], "Closed Subscriber Group": [[43, "closed-subscriber-group"]], "Configure UE measurements": [[43, "configure-ue-measurements"]], "X2-based handover": [[43, "x2-based-handover"]], "Manual handover trigger": [[43, "manual-handover-trigger"]], "Automatic handover trigger": [[43, "automatic-handover-trigger"]], "Tuning simulation with handover": [[43, "tuning-simulation-with-handover"]], "Handover traces": [[43, "handover-traces"]], "Manual configuration": [[43, "manual-configuration"]], "Hard Frequency Reuse Algorithm": [[43, "hard-frequency-reuse-algorithm"]], "Strict Frequency Reuse Algorithm": [[43, "strict-frequency-reuse-algorithm"]], "Soft Frequency Reuse Algorithm": [[43, "soft-frequency-reuse-algorithm"]], "Soft Fractional Frequency Reuse Algorithm": [[43, "soft-fractional-frequency-reuse-algorithm"]], "Enhanced Fractional Frequency Reuse Algorithm": [[43, "enhanced-fractional-frequency-reuse-algorithm"]], "Distributed Fractional Frequency Reuse Algorithm": [[43, "distributed-fractional-frequency-reuse-algorithm"]], "Automatic configuration": [[43, "automatic-configuration"]], "Examples Programs": [[43, "examples-programs"]], "Reference scenarios": [[43, "reference-scenarios"]], "Handover simulation campaign": [[43, "handover-simulation-campaign"]], "lena-dual-stripe parameter configuration for handover campaign": [[43, "id20"]], "Overriding default attributes for handover campaign": [[43, "id21"]], "Results of handover campaign": [[43, "id23"]], "Frequency Reuse examples": [[43, "frequency-reuse-examples"]], "Carrier aggregation examples": [[43, "carrier-aggregation-examples"]], "Radio link failure example": [[43, "radio-link-failure-example"]], "Troubleshooting and debugging tips": [[43, "troubleshooting-and-debugging-tips"]], "Wi-Fi Mesh Module Documentation": [[44, "wi-fi-mesh-module-documentation"]], "Overview of IEEE 802.11s": [[45, "overview-of-ieee-802-11s"]], "Peer management protocol": [[45, "peer-management-protocol"]], "Hybrid Wireless Mesh Protocol": [[45, "hybrid-wireless-mesh-protocol"]], "Forwarding delay": [[45, "forwarding-delay"]], "Supported features": [[45, "supported-features"]], "Verification": [[45, "verification"]], "Models yet to be created": [[45, "models-yet-to-be-created"]], "Open issues": [[45, "open-issues"], [75, "open-issues"]], "Using the MeshNetDevice": [[48, "using-the-meshnetdevice"]], "Mobility": [[49, "mobility"]], "Coordinate system": [[49, "coordinate-system"]], "Coordinates": [[49, "coordinates"]], "MobilityModel": [[49, "mobilitymodel"]], "MobilityModel Subclasses": [[49, "mobilitymodel-subclasses"]], "PositionAllocator": [[49, "positionallocator"]], "ns-2 MobilityHelper": [[49, "ns-2-mobilityhelper"]], "Ns2MobilityHelper": [[49, "ns2mobilityhelper"]], "ns2-mobility-trace": [[49, "ns2-mobility-trace"]], "bonnmotion-ns2-example": [[49, "bonnmotion-ns2-example"]], "Use of Random Variables": [[49, "use-of-random-variables"]], "ns-2 scengen": [[49, "ns-2-scengen"]], "BonnMotion": [[49, "id4"]], "SUMO": [[49, "id5"]], "TraNS": [[49, "id6"]], "reference-point-group-mobility-example.cc": [[49, "reference-point-group-mobility-example-cc"]], "Mq queue disc": [[50, "mq-queue-disc"]], "Netmap NetDevice": [[51, "netmap-netdevice"]], "Network Module": [[52, "network-module"]], "Node and NetDevices Overview": [[53, "node-and-netdevices-overview"]], "Nix-Vector Routing Documentation": [[54, "nix-vector-routing-documentation"]], "Optimized Link State Routing (OLSR)": [[55, "optimized-link-state-routing-olsr"]], "Caveats": [[55, "caveats"], [56, "caveats"], [79, "caveats"]], "OpenFlow switch support": [[56, "openflow-switch-support"]], "OpenFlow switch Model": [[56, "openflow-switch-model"]], "Building OFSID": [[56, "building-ofsid"]], "Logging": [[56, "logging"], [79, "logging"]], "Organization": [[57, "organization"]], "Packets": [[58, "packets"]], "Packet design overview": [[58, "packet-design-overview"]], "Using the packet interface": [[58, "using-the-packet-interface"]], "Creating a new packet": [[58, "creating-a-new-packet"]], "Adding and removing Buffer data": [[58, "adding-and-removing-buffer-data"]], "Adding and removing Tags": [[58, "adding-and-removing-tags"]], "Fragmentation and concatenation": [[58, "fragmentation-and-concatenation"]], "Enabling metadata": [[58, "enabling-metadata"]], "Sample programs": [[58, "sample-programs"]], "Implementation details": [[58, "implementation-details"], [65, "implementation-details"]], "Private member variables": [[58, "private-member-variables"]], "Buffer implementation": [[58, "buffer-implementation"]], "Tags implementation": [[58, "tags-implementation"]], "Memory management": [[58, "memory-management"]], "Copy-on-write semantics": [[58, "copy-on-write-semantics"]], "pfifo_fast queue disc": [[59, "pfifo-fast-queue-disc"]], "PIE queue disc": [[60, "pie-queue-disc"]], "PointToPoint NetDevice": [[61, "pointtopoint-netdevice"]], "Overview of the PointToPoint model": [[61, "overview-of-the-pointtopoint-model"]], "Point-to-Point Channel Model": [[61, "point-to-point-channel-model"]], "Using the PointToPointNetDevice": [[61, "using-the-pointtopointnetdevice"]], "PointToPoint Tracing": [[61, "pointtopoint-tracing"]], "Prio queue disc": [[62, "prio-queue-disc"]], "Propagation": [[63, "propagation"]], "PropagationLossModel": [[63, "propagationlossmodel"]], "FriisPropagationLossModel": [[63, "friispropagationlossmodel"]], "TwoRayGroundPropagationLossModel": [[63, "tworaygroundpropagationlossmodel"]], "LogDistancePropagationLossModel": [[63, "logdistancepropagationlossmodel"]], "ThreeLogDistancePropagationLossModel": [[63, "threelogdistancepropagationlossmodel"]], "JakesPropagationLossModel": [[63, "jakespropagationlossmodel"]], "ToDo": [[63, "todo"], [63, "id5"]], "RandomPropagationLossModel": [[63, "randompropagationlossmodel"]], "NakagamiPropagationLossModel": [[63, "nakagamipropagationlossmodel"]], "FixedRssLossModel": [[63, "fixedrsslossmodel"]], "MatrixPropagationLossModel": [[63, "matrixpropagationlossmodel"]], "RangePropagationLossModel": [[63, "rangepropagationlossmodel"]], "OkumuraHataPropagationLossModel": [[63, "okumurahatapropagationlossmodel"]], "Cost231PropagationLossModel": [[63, "cost231propagationlossmodel"]], "ItuR1411LosPropagationLossModel": [[63, "itur1411lospropagationlossmodel"]], "ItuR1411NlosOverRooftopPropagationLossModel": [[63, "itur1411nlosoverrooftoppropagationlossmodel"]], "Kun2600MhzPropagationLossModel": [[63, "kun2600mhzpropagationlossmodel"]], "ThreeGppPropagationLossModel": [[63, "threegpppropagationlossmodel"]], "ThreeGppRMaPropagationLossModel": [[63, "threegpprmapropagationlossmodel"]], "ThreeGppUMaPropagationLossModel": [[63, "threegppumapropagationlossmodel"]], "ThreeGppUmiStreetCanyonPropagationLossModel": [[63, "threegppumistreetcanyonpropagationlossmodel"]], "ThreeGppIndoorOfficePropagationLossModel": [[63, "threegppindoorofficepropagationlossmodel"]], "Testing": [[63, "testing"], [63, "id22"], [72, "testing"], [72, "id10"], [72, "id19"]], "ChannelConditionModel": [[63, "channelconditionmodel"]], "ThreeGppChannelConditionModel": [[63, "threegppchannelconditionmodel"]], "ThreeGppRmaChannelConditionModel": [[63, "threegpprmachannelconditionmodel"]], "ThreeGppUmaChannelConditionModel": [[63, "threegppumachannelconditionmodel"]], "ThreeGppUmiStreetCanyonChannelConditionModel": [[63, "threegppumistreetcanyonchannelconditionmodel"]], "ThreeGppIndoorMixedOfficeChannelConditionModel": [[63, "threegppindoormixedofficechannelconditionmodel"]], "ThreeGppIndoorOpenOfficeChannelConditionModel": [[63, "threegppindooropenofficechannelconditionmodel"]], "PropagationDelayModel": [[63, "propagationdelaymodel"]], "ConstantSpeedPropagationDelayModel": [[63, "constantspeedpropagationdelaymodel"]], "RandomPropagationDelayModel": [[63, "randompropagationdelaymodel"]], "Models for vehicular environments": [[63, "models-for-vehicular-environments"]], "Vehicular channel condition models": [[63, "vehicular-channel-condition-models"]], "Vehicular propagation loss models": [[63, "vehicular-propagation-loss-models"]], "Vehicular fast fading model": [[63, "vehicular-fast-fading-model"]], "Example": [[63, "example"]], "Queues": [[64, "queues"]], "DropTail": [[64, "droptail"]], "Queue disciplines": [[65, "queue-disciplines"]], "Requeue": [[65, "requeue"]], "Queue limits": [[66, "queue-limits"]], "DynamicQueueLimits": [[66, "dynamicqueuelimits"]], "RED queue disc": [[67, "red-queue-disc"]], "Adaptive Random Early Detection (ARED)": [[67, "adaptive-random-early-detection-ared"]], "Feng\u2019s Adaptive RED": [[67, "feng-s-adaptive-red"]], "Nonlinear Random Early Detection (NLRED)": [[67, "nonlinear-random-early-detection-nlred"]], "Explicit Congestion Notification (ECN)": [[67, "explicit-congestion-notification-ecn"]], "Simulating ARED": [[67, "simulating-ared"]], "Simulating Feng\u2019s Adaptive RED": [[67, "simulating-feng-s-adaptive-red"]], "Simulating NLRED": [[67, "simulating-nlred"]], "Routing overview": [[68, "routing-overview"]], "Routing architecture": [[68, "routing-architecture"]], "Unicast routing": [[68, "unicast-routing"]], "Ipv[4,6]ListRouting": [[68, "ipv-4-6-listrouting"]], "Ipv[4,6]ListRouting::AddRoutingProtocol": [[68, "ipv-4-6-listrouting-addroutingprotocol"]], "Global centralized routing": [[68, "global-centralized-routing"]], "Global Unicast Routing API": [[68, "global-unicast-routing-api"]], "Global Routing Implementation": [[68, "global-routing-implementation"]], "RIP and RIPng": [[68, "rip-and-ripng"]], "Routing convergence": [[68, "routing-convergence"]], "Split Horizoning": [[68, "split-horizoning"]], "Default routes": [[68, "default-routes"]], "Protocol parameters and options": [[68, "protocol-parameters-and-options"]], "Other routing protocols": [[68, "other-routing-protocols"]], "Multicast routing": [[68, "multicast-routing"]], "Simple NetDevice": [[69, "simple-netdevice"]], "6LoWPAN: Transmission of IPv6 Packets over IEEE 802.15.4 Networks": [[70, "lowpan-transmission-of-ipv6-packets-over-ieee-802-15-4-networks"]], "Mesh-Under routing": [[70, "mesh-under-routing"]], "Contex-based compression": [[70, "contex-based-compression"]], "6LoWPAM-ND": [[70, "lowpam-nd"]], "Mesh-under routing": [[70, "id4"]], "Mixing compression types in a PAN": [[70, "mixing-compression-types-in-a-pan"]], "Using 6LoWPAN with IPv4 (or other L3 protocols)": [[70, "using-6lowpan-with-ipv4-or-other-l3-protocols"]], "Enabling sixlowpan": [[70, "enabling-sixlowpan"]], "Sockets APIs": [[71, "sockets-apis"]], "ns-3 sockets API": [[71, "ns-3-sockets-api"]], "Basic operation and calls": [[71, "basic-operation-and-calls"]], "Creating sockets": [[71, "creating-sockets"]], "Using sockets": [[71, "using-sockets"]], "Packet vs. buffer variants": [[71, "packet-vs-buffer-variants"]], "Sending dummy data": [[71, "sending-dummy-data"]], "Use of Send() vs. SendTo()": [[71, "use-of-send-vs-sendto"]], "Socket options": [[71, "id2"]], "ToS (Type of Service)": [[71, "tos-type-of-service"]], "Setting the ToS with UDP sockets": [[71, "setting-the-tos-with-udp-sockets"]], "Setting the ToS with TCP sockets": [[71, "setting-the-tos-with-tcp-sockets"]], "Priority": [[71, "priority"]], "Setting the priority with UDP sockets": [[71, "setting-the-priority-with-udp-sockets"]], "Setting the priority with TCP sockets": [[71, "setting-the-priority-with-tcp-sockets"]], "Setting the priority with packet sockets": [[71, "setting-the-priority-with-packet-sockets"]], "Socket errno": [[71, "socket-errno"]], "Example programs": [[71, "example-programs"]], "POSIX-like sockets API": [[71, "posix-like-sockets-api"]], "Spectrum Module": [[72, "spectrum-module"]], "Signal model": [[72, "signal-model"]], "Channel/PHY interface": [[72, "channel-phy-interface"]], "Spectrum Channel implementations": [[72, "spectrum-channel-implementations"]], "Example model implementations": [[72, "example-model-implementations"]], "SpectrumValue test": [[72, "spectrumvalue-test"]], "SpectrumConverter test": [[72, "spectrumconverter-test"]], "Interference test": [[72, "interference-test"]], "IdealPhy test": [[72, "idealphy-test"]], "Additional Models": [[72, "additional-models"]], "TV Transmitter Model": [[72, "tv-transmitter-model"]], "Main Model Class": [[72, "main-model-class"]], "Helper Class": [[72, "helper-class"]], "3GPP TR 38.901 fast fading model": [[72, "gpp-tr-38-901-fast-fading-model"]], "Implementation": [[72, "implementation"]], "ThreeGppSpectrumPropagationLossModel": [[72, "threegppspectrumpropagationlossmodel"]], "ThreeGppChannelModel": [[72, "threegppchannelmodel"]], "Tap NetDevice": [[73, "tap-netdevice"]], "TapBridge Model Overview": [[73, "tapbridge-model-overview"]], "TapBridge ConfigureLocal Mode": [[73, "tapbridge-configurelocal-mode"]], "TapBridge UseLocal Mode": [[73, "tapbridge-uselocal-mode"]], "TapBridge UseBridge Mode": [[73, "tapbridge-usebridge-mode"]], "TapBridge ConfigureLocal Operation": [[73, "tapbridge-configurelocal-operation"]], "TapBridge UseLocal Mode Operation": [[73, "tapbridge-uselocal-mode-operation"]], "TapBridge UseBridge Operation": [[73, "tapbridge-usebridge-operation"]], "Tap Bridge Channel Model": [[73, "tap-bridge-channel-model"]], "Tap Bridge Tracing Model": [[73, "tap-bridge-tracing-model"]], "Using the TapBridge": [[73, "using-the-tapbridge"]], "TBF queue disc": [[74, "tbf-queue-disc"]], "TraceSources": [[74, "tracesources"]], "TCP models in ns-3": [[75, "tcp-models-in-ns-3"]], "Overview of support for TCP": [[75, "overview-of-support-for-tcp"]], "ns-3 TCP": [[75, "ns-3-tcp"]], "Model history": [[75, "model-history"]], "Acknowledgments": [[75, "acknowledgments"]], "TCP Socket interaction and interface with Application layer": [[75, "tcp-socket-interaction-and-interface-with-application-layer"]], "Congestion Control Algorithms": [[75, "congestion-control-algorithms"]], "NewReno": [[75, "newreno"]], "CUBIC": [[75, "cubic"]], "Linux Reno": [[75, "linux-reno"]], "HighSpeed": [[75, "highspeed"]], "Hybla": [[75, "hybla"]], "Westwood": [[75, "westwood"]], "Vegas": [[75, "vegas"]], "Scalable": [[75, "scalable"]], "Veno": [[75, "veno"]], "BIC": [[75, "bic"]], "YeAH": [[75, "yeah"]], "Illinois": [[75, "illinois"]], "H-TCP": [[75, "h-tcp"]], "LEDBAT": [[75, "ledbat"]], "TCP-LP": [[75, "tcp-lp"]], "Data Center TCP (DCTCP)": [[75, "data-center-tcp-dctcp"]], "BBR": [[75, "bbr"]], "Support for Explicit Congestion Notification (ECN)": [[75, "support-for-explicit-congestion-notification-ecn"]], "Enabling ECN": [[75, "enabling-ecn"]], "ECN negotiation": [[75, "ecn-negotiation"]], "ECN State Transitions": [[75, "ecn-state-transitions"]], "RFC 3168 compliance": [[75, "rfc-3168-compliance"]], "Support for Dynamic Pacing": [[75, "support-for-dynamic-pacing"]], "Writing a new congestion control algorithm": [[75, "writing-a-new-congestion-control-algorithm"]], "TCP SACK and non-SACK": [[75, "tcp-sack-and-non-sack"]], "Loss Recovery Algorithms": [[75, "loss-recovery-algorithms"]], "Classic Recovery": [[75, "classic-recovery"]], "Proportional Rate Reduction": [[75, "proportional-rate-reduction"]], "Adding a new loss recovery algorithm in ns-3": [[75, "adding-a-new-loss-recovery-algorithm-in-ns-3"]], "Delivery Rate Estimation": [[75, "delivery-rate-estimation"]], "Current limitations": [[75, "current-limitations"]], "Writing TCP tests": [[75, "writing-tcp-tests"]], "Topology Input Readers": [[76, "topology-input-readers"]], "Traffic Control Layer": [[77, "traffic-control-layer"], [78, "traffic-control-layer"]], "Introducing the Traffic Control Layer": [[78, "introducing-the-traffic-control-layer"]], "Transmitting packets": [[78, "transmitting-packets"]], "Receiving packets": [[78, "receiving-packets"]], "Brief description of old node/device/protocol interactions": [[78, "brief-description-of-old-node-device-protocol-interactions"]], "L2 Helper main operations": [[78, "l2-helper-main-operations"]], "L3 Helper": [[78, "l3-helper"]], "IP interfaces": [[78, "ip-interfaces"]], "UAN Framework": [[79, "uan-framework"]], "UAN Propagation Models": [[79, "uan-propagation-models"]], "UAN PHY Model Overview": [[79, "uan-phy-model-overview"]], "UAN MAC Model Overview": [[79, "uan-mac-model-overview"]], "AUV mobility models": [[79, "auv-mobility-models"]], "Use cases": [[79, "use-cases"], [79, "id13"]], "AUV mobility models design": [[79, "auv-mobility-models-design"]], "Energy models": [[79, "energy-models"]], "AUV energy models": [[79, "auv-energy-models"]], "AUV energy sources": [[79, "auv-energy-sources"]], "Acoustic modem energy model": [[79, "acoustic-modem-energy-model"]], "UAN module energy modifications": [[79, "uan-module-energy-modifications"]], "Li-Ion batteries model": [[79, "li-ion-batteries-model"]], "Mobility Model Examples": [[79, "mobility-model-examples"]], "UAN Examples": [[79, "uan-examples"]], "AcousticModemEnergyModelHelper": [[79, "acousticmodemenergymodelhelper"]], "AuvGliderHelper": [[79, "auvgliderhelper"]], "AuvRemusHelper": [[79, "auvremushelper"]], "Auv Energy Model": [[79, "auv-energy-model"]], "Auv Mobility": [[79, "auv-mobility"]], "Li-Ion Energy Source": [[79, "li-ion-energy-source"]], "UDP model in ns-3": [[80, "udp-model-in-ns-3"]], "Generic support for UDP": [[80, "generic-support-for-udp"]], "ns-3 UDP": [[80, "ns-3-udp"]], "UDP Socket interaction and interface with Application layer": [[80, "udp-socket-interaction-and-interface-with-application-layer"]], "WAVE models": [[81, "wave-models"]], "MAC layer": [[81, "mac-layer"], [81, "id11"]], "MAC extension layer": [[81, "mac-extension-layer"], [81, "id12"]], "PHY layer": [[81, "phy-layer"]], "Advanced WaveHelper configuration": [[81, "advanced-wavehelper-configuration"]], "Wi-Fi Module": [[82, "wi-fi-module"]], "API and behavior changes across releases": [[83, "api-and-behavior-changes-across-releases"]], "ChannelSettings attribute": [[83, "channelsettings-attribute"]], "Overview of the model": [[84, "overview-of-the-model"]], "MAC high models": [[84, "mac-high-models"]], "MAC low layer": [[84, "mac-low-layer"]], "PHY layer models": [[84, "phy-layer-models"]], "Design Details": [[84, "design-details"]], "WifiPhy and related models": [[84, "wifiphy-and-related-models"]], "PhyEntity": [[84, "phyentity"]], "A bit of background": [[84, "a-bit-of-background"]], "WifiPpdu": [[84, "wifippdu"]], "YansWifiPhy and WifiPhyStateHelper": [[84, "yanswifiphy-and-wifiphystatehelper"]], "InterferenceHelper": [[84, "interferencehelper"]], "ErrorRateModel": [[84, "errorratemodel"]], "TableBasedErrorRateModel": [[84, "tablebasederrorratemodel"]], "Legacy ErrorRateModels": [[84, "legacy-errorratemodels"]], "SpectrumWifiPhy": [[84, "spectrumwifiphy"]], "The MAC model": [[84, "the-mac-model"]], "Infrastructure association": [[84, "infrastructure-association"]], "Roaming": [[84, "roaming"]], "Channel access": [[84, "channel-access"]], "Frame Exchange Managers": [[84, "frame-exchange-managers"]], "Multi-user transmissions": [[84, "multi-user-transmissions"]], "Multi-User Scheduler": [[84, "multi-user-scheduler"]], "Round-robin Multi-User Scheduler": [[84, "round-robin-multi-user-scheduler"]], "Ack manager": [[84, "ack-manager"]], "WifiDefaultAckManager": [[84, "wifidefaultackmanager"]], "Protection manager": [[84, "protection-manager"]], "WifiDefaultProtectionManager": [[84, "wifidefaultprotectionmanager"]], "Rate control algorithms": [[84, "rate-control-algorithms"]], "ConstantRateWifiManager": [[84, "constantratewifimanager"]], "IdealWifiManager": [[84, "idealwifimanager"]], "ThompsonSamplingWifiManager": [[84, "thompsonsamplingwifimanager"]], "MinstrelWifiManager": [[84, "minstrelwifimanager"]], "MinstrelHtWifiManager": [[84, "minstrelhtwifimanager"]], "802.11ax OBSS PD spatial reuse": [[84, "ax-obss-pd-spatial-reuse"]], "OBSS PD Algorithm": [[84, "obss-pd-algorithm"]], "Constant OBSS PD Algorithm": [[84, "constant-obss-pd-algorithm"]], "Modifying Wifi model": [[84, "modifying-wifi-model"]], "Error model": [[86, "error-model"]], "MAC validation": [[86, "mac-validation"]], "SpectrumWiFiPhy": [[86, "spectrumwifiphy"]], "Saturation performance": [[86, "saturation-performance"]], "Packet error rate performance": [[86, "packet-error-rate-performance"]], "Interference performance": [[86, "interference-performance"]], "Bianchi validation": [[86, "bianchi-validation"]], "Multi-user transmissions validation": [[86, "multi-user-transmissions-validation"]], "Using the WifiNetDevice": [[87, "using-the-wifinetdevice"]], "YansWifiChannelHelper": [[87, "yanswifichannelhelper"]], "YansWifiPhyHelper": [[87, "yanswifiphyhelper"]], "Channel, frequency, channel width, and band configuration": [[87, "channel-frequency-channel-width-and-band-configuration"]], "WifiHelper::SetStandard()": [[87, "wifihelper-setstandard"]], "Default settings for the operating channel": [[87, "default-settings-for-the-operating-channel"]], "WifiPhy::Frequency": [[87, "wifiphy-frequency"]], "WifiPhy::ChannelWidth": [[87, "wifiphy-channelwidth"]], "WifiPhy::ChannelNumber": [[87, "wifiphy-channelnumber"]], "5 GHz channel numbers": [[87, "id1"]], "6 GHz channel numbers": [[87, "id2"]], "WifiPhy::Primary20MHzIndex": [[87, "wifiphy-primary20mhzindex"]], "Order of operation issues": [[87, "order-of-operation-issues"]], "SpectrumWifiPhyHelper": [[87, "spectrumwifiphyhelper"]], "WifiMacHelper": [[87, "wifimachelper"]], "Selection of the Access Category (AC)": [[87, "selection-of-the-access-category-ac"]], "WifiHelper": [[87, "wifihelper"]], "HT configuration": [[87, "ht-configuration"]], "VHT configuration": [[87, "vht-configuration"]], "HE configuration": [[87, "he-configuration"]], "Mobility configuration": [[87, "mobility-configuration"]], "Example configuration": [[87, "example-configuration"]], "AdHoc WifiNetDevice configuration": [[87, "adhoc-wifinetdevice-configuration"]], "Infrastructure (access point and clients) WifiNetDevice configuration": [[87, "infrastructure-access-point-and-clients-wifinetdevice-configuration"]], "Wimax NetDevice": [[88, "wimax-netdevice"]], "Scope of the model": [[88, "scope-of-the-model"]], "Using the Wimax models": [[88, "using-the-wimax-models"]], "Wimax Attributes": [[88, "wimax-attributes"]], "Wimax Tracing": [[88, "wimax-tracing"]], "Wimax MAC model": [[88, "wimax-mac-model"]], "Convergence Sublayer": [[88, "convergence-sublayer"]], "IP Packet Classifier": [[88, "ip-packet-classifier"]], "MAC Common Part Sublayer": [[88, "mac-common-part-sublayer"]], "Framing and Management Messages": [[88, "framing-and-management-messages"]], "Network Entry and Initialization": [[88, "network-entry-and-initialization"]], "Connections and Addressing": [[88, "connections-and-addressing"]], "Scheduling Services": [[88, "scheduling-services"]], "WiMAX Uplink Scheduler Model": [[88, "wimax-uplink-scheduler-model"]], "WiMAX Outbound Schedulers Model": [[88, "wimax-outbound-schedulers-model"]], "WimaxChannel and WimaxPhy models": [[88, "wimaxchannel-and-wimaxphy-models"]], "Channel model": [[88, "channel-model"]], "Physical model": [[88, "physical-model"]]}, "indexentries": {"rfc": [[5, "index-0"], [21, "index-0"], [21, "index-1"], [27, "index-0"], [32, "index-0"], [32, "index-1"], [35, "index-0"], [35, "index-1"], [55, "index-0"], [55, "index-1"], [55, "index-2"], [55, "index-3"], [55, "index-4"], [55, "index-5"], [55, "index-6"], [68, "index-0"], [68, "index-1"], [68, "index-10"], [68, "index-11"], [68, "index-12"], [68, "index-13"], [68, "index-14"], [68, "index-2"], [68, "index-3"], [68, "index-4"], [68, "index-5"], [68, "index-6"], [68, "index-7"], [68, "index-8"], [68, "index-9"], [70, "index-0"], [70, "index-1"], [70, "index-10"], [70, "index-11"], [70, "index-2"], [70, "index-3"], [70, "index-4"], [70, "index-5"], [70, "index-6"], [70, "index-7"], [70, "index-8"], [70, "index-9"], [75, "index-0"], [75, "index-1"]], "rfc 3561": [[5, "index-0"], [68, "index-3"]], "rfc 4728": [[21, "index-0"], [21, "index-1"]], "rfc 3393": [[27, "index-0"]], "rfc 2131": [[32, "index-0"]], "rfc 2132": [[32, "index-1"]], "rfc 1918": [[35, "index-0"]], "rfc 6621": [[35, "index-1"]], "rfc 3626": [[55, "index-0"], [55, "index-2"], [55, "index-3"], [55, "index-4"], [55, "index-5"], [68, "index-2"]], "rfc 7181": [[55, "index-1"], [55, "index-6"]], "rfc 1058": [[68, "index-10"], [68, "index-11"], [68, "index-13"], [68, "index-5"], [68, "index-7"]], "rfc 1723": [[68, "index-8"]], "rfc 2080": [[68, "index-1"], [68, "index-12"], [68, "index-14"], [68, "index-6"], [68, "index-9"]], "rfc 2453": [[68, "index-0"], [68, "index-4"]], "rfc 4944": [[70, "index-0"], [70, "index-11"], [70, "index-2"], [70, "index-6"]], "rfc 6282": [[70, "index-1"], [70, "index-10"], [70, "index-3"], [70, "index-4"], [70, "index-7"]], "rfc 6775": [[70, "index-5"], [70, "index-8"], [70, "index-9"]], "rfc 6928": [[75, "index-0"]], "rfc 8312": [[75, "index-1"]]}})