Home | All Classes | Grouped Classes | Index | Search

CL_EventTrigger::wait

Wait until the event triggers.

	bool wait(
		int timeout = -1);

Detailed description:

If timeout = -1, this function will not timeout.

See also:

CL_EventTrigger



Questions or comments, write to the ClanLib mailing list.